реклама на сайте
подробности

 
 
4 страниц V  < 1 2 3 4 >  
Reply to this topicStart new topic
> помогите правильно правильно составить схему, выделение регистра с максимальным значением
XVR
сообщение Mar 20 2012, 09:45
Сообщение #16


Гуру
******

Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847



Цитата(sakol @ Mar 19 2012, 18:53) *
не, я имею ввиду, что должно входить в состав:
вот регистр, к нему надо подать питание, и всё?
Про питание забудьте - оно в FPGA уже встроенно
Цитата
наверное нужно еще добавить счетчик, тактовый генератор?
Тесты пишутся либо в виде временных диаграмм, либо в виде скриптов. Последние могут быть как в виде tcl скриптов для симулятора, так и в виде Verilog (или VHDL) функциональных модулей.
Go to the top of the page
 
+Quote Post
sakol
сообщение Mar 21 2012, 21:19
Сообщение #17


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 19-02-12
Пользователь №: 70 349




Прикрепленное изображение

Народ, подскажите пожалуйста - этот буфер не могу найти, это потому что надо выбрать плату где такой буфер поддерживается или версия проги старенькая?
использую ISE 9.2
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Mar 22 2012, 04:43
Сообщение #18


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Цитата(sakol @ Mar 22 2012, 00:19) *

Прикрепленное изображение

Народ, подскажите пожалуйста - этот буфер не могу найти, это потому что надо выбрать плату где такой буфер поддерживается или версия проги старенькая?
использую ISE 9.2
Смотря что вы хотите. Это тристабильный буфер. В современных FPGA такие есть только в IOB.

Работает это так:
Код
O <= I when (T = '0') else (others => 'Z');
Не уверен на счёт активного уровня T.
Go to the top of the page
 
+Quote Post
XVR
сообщение Mar 22 2012, 06:16
Сообщение #19


Гуру
******

Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847



Цитата(sakol @ Mar 22 2012, 01:19) *

Прикрепленное изображение

Народ, подскажите пожалуйста - этот буфер не могу найти, это потому что надо выбрать плату где такой буфер поддерживается или версия проги старенькая?
Этот 'буфер' должен поддерживаться не 'платой', а FPGA, на ней установленной
Цитата
использую ISE 9.2
Т.е. у вас Xilinx. Доки читать не пробовали?
BUFT16 - это макрос из 16 штук BUFT
BUFT - это внутренний буфер с 3мя состояниями. Последний кристал, где это поддерживалось (если мне память не изменяет) - Virtex II. (Для справки - сейчас в производстве Virtex 7)
Никакие современные кристаллы этот BUFT (а равно и BUFE) не поддерживают, т.к. у них нет внутренних 3х стабильных шин.
Переделайте схему, что бы BUFT не было

NB. Синтезатор может отконвертировать ваши BUFT в набор мультиплексоров, но закладываться на это я бы не стал

Go to the top of the page
 
+Quote Post
sakol
сообщение Mar 22 2012, 07:11
Сообщение #20


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 19-02-12
Пользователь №: 70 349



преподавателю какраз и нужно, чтобы буфер имел переход в 3-е состояние.
сегодня поговорю с ним, может что-то изменится.
суть нашей задачи - создание нового способа сортировки, вечером выложу схему на чем остановились и где нужен этот тристабильный буф.
Go to the top of the page
 
+Quote Post
XVR
сообщение Mar 22 2012, 09:04
Сообщение #21


Гуру
******

Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847



Цитата(sakol @ Mar 22 2012, 11:11) *
преподавателю какраз и нужно, чтобы буфер имел переход в 3-е состояние.
Внутри FPGA или снаружи?
Цитата
суть нашей задачи - создание нового способа сортировки, вечером выложу схему на чем остановились и где нужен этот тристабильный буф.
На чем бы вы там не остановились, в современных FPGA нет внутри 3х стабильных шин, и соотвественно буферов.
Если же вы их все же поставите (в схематике или в HDL - не важно), синтезатор при разводке вашей схемы поменяет их на мультиплексоры.
Делайте сразу на мультиплексорах - они в FPGA физически есть

Go to the top of the page
 
+Quote Post
sakol
сообщение Mar 22 2012, 14:52
Сообщение #22


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 19-02-12
Пользователь №: 70 349



понял, спасибо за обьяснение sm.gif
Go to the top of the page
 
+Quote Post
sakol
сообщение Apr 1 2012, 17:17
Сообщение #23


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 19-02-12
Пользователь №: 70 349



Возникла загвоздка с переходом от шины к одиночному входу(в мультиплекторе), скажите возможно просто отредактировать элемент и дорисовать ему шины ? sm.gif

Сообщение отредактировал sakol - Apr 1 2012, 17:18
Эскизы прикрепленных изображений
Прикрепленное изображение
 
Go to the top of the page
 
+Quote Post
XVR
сообщение Apr 2 2012, 08:25
Сообщение #24


Гуру
******

Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847



Нет, вам нужен соотвествующий элемент. M2_1 это мультиплексор для проводов, а вам нужен для шин. Посмотрите в библиотеке или сделайте сами (у вас внутри этого элемента будет 16 штук M2_1)

Go to the top of the page
 
+Quote Post
sakol
сообщение Apr 2 2012, 09:36
Сообщение #25


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 19-02-12
Пользователь №: 70 349



ага, вот я отредактировал элемент внешне, теперь задаю его значения. Если задавать на vhdl такая фишка в описании прокатит?
entity copy_of_m2_1 is
Port ( D0 : in STD_LOGIC_VECTOR (15 downto 0);
D1 : in STD_LOGIC_VECTOR (15 downto 0);
S : in STD_LOGIC_VECTOR (1 downto 0);
Q : out STD_LOGIC_VECTOR (15 downto 0));
end copy_of_m2_1;

architecture Behavioral of copy_of_m2_1 is

begin
when S==0 then Q = D0; else
when S==1 then Q = D1;

end Behavioral;
Go to the top of the page
 
+Quote Post
XVR
сообщение Apr 2 2012, 11:55
Сообщение #26


Гуру
******

Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847



Наверное прокатит. (Я больше по Verilog специалист sm.gif )
Go to the top of the page
 
+Quote Post
sakol
сообщение Apr 2 2012, 13:58
Сообщение #27


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 19-02-12
Пользователь №: 70 349



не прокатило sad.gif

выдает такую ошибку - referencing symbol 'copy_of_m2_1' is out of date.

entity copy_of_m2_1 is
Port ( C(15:0) : in STD_LOGIC_VECTOR (15 downto 0);
D(15:0) : in STD_LOGIC_VECTOR (15 downto 0);
S : in STD_LOGIC_VECTOR (1 downto 0);
Q : out STD_LOGIC_VECTOR (15 downto 0));
end copy_of_m2_1;

architecture Behavioral of copy_of_m2_1 is
process (C(15:0), D(15:0), S)
begin
case S is
when '0' => O <= C(15:0);
when '1' => O <= D(15:0);
when others => NULL;
end case;
end process;
end Behavioral;


Сообщение отредактировал sakol - Apr 2 2012, 14:15
Go to the top of the page
 
+Quote Post
XVR
сообщение Apr 2 2012, 16:11
Сообщение #28


Гуру
******

Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847



Видимо надо что то где то перегенерировать. Это ошибка не из VHDL
Go to the top of the page
 
+Quote Post
sakol
сообщение Apr 2 2012, 17:52
Сообщение #29


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 19-02-12
Пользователь №: 70 349



покопался, теперь вот что выдает:
ERROR:HDLCompilers:87 - "F:/xilinx/1111/variant.vf" line 159 Could not find module/primitive 'M2_1_HXILINX'

я так понял мне мой созданный элемент надо добавить в какую-то библиотеку?
Go to the top of the page
 
+Quote Post
XVR
сообщение Apr 3 2012, 10:30
Сообщение #30


Гуру
******

Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847



Цитата(sakol @ Apr 2 2012, 21:52) *
покопался, теперь вот что выдает:
ERROR:HDLCompilers:87 - "F:/xilinx/1111/variant.vf" line 159 Could not find module/primitive 'M2_1_HXILINX'
Судя по сообщению в схематике ваш модуль называется M2_1_HXILINX, а не copy_of_m2_1 (как у вас в VHDL)

Go to the top of the page
 
+Quote Post

4 страниц V  < 1 2 3 4 >
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th July 2025 - 14:05
Рейтинг@Mail.ru


Страница сгенерированна за 0.01483 секунд с 7
ELECTRONIX ©2004-2016