реклама на сайте
подробности

 
 
 
Reply to this topicStart new topic
> чайницкий вопрос про счетчик
kostage
сообщение Sep 21 2012, 14:45
Сообщение #1





Группа: Участник
Сообщений: 10
Регистрация: 17-12-11
Пользователь №: 68 918



Подскажите, пожалуйста, почему этот простой счетчик, считающий туда-сюда, симулируется на MAX7000s, а на Stratix, к примеру, не работает?
Quartus 8.1 Web Edition
Код
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
ENTITY lpm_counter0 IS
    PORT
    (
        clk        : IN STD_LOGIC;
        q        : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
    );
END lpm_counter0;


ARCHITECTURE SYN OF lpm_counter0 IS

    SIGNAL summ    : STD_LOGIC_VECTOR (3 DOWNTO 0);
    signal equal : STD_LOGIC;
    signal updown : STD_LOGIC;
begin
    
    q <= summ;
    equal <= '1' when summ = "1111" or summ = "0000" else '0';
    updown <= not updown when rising_edge(equal);
    
process(clk)
    begin
    if rising_edge(clk) and updown = '0' then
        summ <= summ + "0001";
    elsif rising_edge(clk) and updown = '1' then
        summ <= summ - "0001";
    end if;
end process;



END SYN;
Причина редактирования: используйте теги для оформления кода (с) модератор
Go to the top of the page
 
+Quote Post
Boris_TS
сообщение Sep 21 2012, 15:38
Сообщение #2


Злополезный
****

Группа: Свой
Сообщений: 608
Регистрация: 19-06-06
Из: Russia Taganrog
Пользователь №: 18 188



Так это - того, тут же ошибка в кодировании:
Цитата(kostage @ Sep 21 2012, 18:45) *
Код
process(clk)
    begin
    if rising_edge(clk) and updown = '0' then
        summ <= summ + "0001";
    elsif rising_edge(clk) and updown = '1' then
        summ <= summ - "0001";
    end if;
end process;

Попробуйте так:
Код
process(clk) begin
    if rising_edge(clk) then
        if updown = '0' then
            summ <= summ + "0001";
        else    -- updown = '1'
            summ <= summ - "0001";
        end if;
    end if;
end process;

Почитайте более детально, что такое Rising_edge и 'Event.
Go to the top of the page
 
+Quote Post
kostage
сообщение Sep 21 2012, 16:36
Сообщение #3





Группа: Участник
Сообщений: 10
Регистрация: 17-12-11
Пользователь №: 68 918



Да, сспасибо, так правильнее несомненно. Но ничего не изменилось, при задании MAX7000 оно симулируется, а на других девайсах нет. Наверное дело в каких-то настройках проекта

на древних плисах симулируется, а на более поздних я пытаюсь просто скомпилировать и симулировать этот же проект, и он не работает. Гугл не помогает
Go to the top of the page
 
+Quote Post
Kuzmi4
сообщение Sep 21 2012, 16:42
Сообщение #4


Гуру
******

Группа: Свой
Сообщений: 3 304
Регистрация: 13-02-07
Из: 55°55′5″ 37°52′16″
Пользователь №: 25 329



2 kostage
Код
updown <= not updown when rising_edge(equal);

асинхра однако, может в этом проблема ?
Go to the top of the page
 
+Quote Post
kostage
сообщение Sep 21 2012, 17:00
Сообщение #5





Группа: Участник
Сообщений: 10
Регистрация: 17-12-11
Пользователь №: 68 918



да, в ней в самой, я уж заметил, пронаблюдав поведение асинхронных промежуточных сигналов. Там есть пульсы, которые образуются в переклюючениях счетчика. Проверку суммы надо делать синхронной. Хороший урок

так-то лучше

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
ENTITY lpm_counter0 IS
PORT
(
clk : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
equal : inout STD_LOGIC;
updown :inout STD_LOGIC );
END lpm_counter0;


ARCHITECTURE SYN OF lpm_counter0 IS

SIGNAL summ : STD_LOGIC_VECTOR (3 DOWNTO 0);

begin

q <= summ;
equal <= '1' when summ = "1111" or summ = "0000" else '0';
process(clk) begin
if falling_edge(clk) then
if summ = "0000" or summ = "1111" then
updown <= not updown;
end if;
end if;
end process;

process(clk) begin
if rising_edge(clk) then
if updown = '0' then
summ <= summ + "0001";
else -- updown = '1'
summ <= summ - "0001";
end if;
end if;
end process;


END SYN;

Электронихх способствует просветлению
Go to the top of the page
 
+Quote Post
iosifk
сообщение Sep 21 2012, 17:28
Сообщение #6


Гуру
******

Группа: Модераторы
Сообщений: 4 011
Регистрация: 8-09-05
Из: спб
Пользователь №: 8 369



Цитата(kostage @ Sep 21 2012, 21:00) *
Электронихх способствует просветлению

Вообще во всех софтовых инструментах есть шаблоны. Изобретать велосипед неплохо, но прежде чем выкладывать опусы в форуме проще посмотреть шаблоны... Где они находятся я написал в "Кратком Курсе"... Это у меня на сайте, в статьях.
Ну и есть лабораторные работы по курсам повышения квалификации, у Альтеры точно выложено...
Удачи!


--------------------
www.iosifk.narod.ru
Go to the top of the page
 
+Quote Post
kostage
сообщение Sep 21 2012, 18:27
Сообщение #7





Группа: Участник
Сообщений: 10
Регистрация: 17-12-11
Пользователь №: 68 918



Спасибо! Да и еще надо контролировать вместе с суммой и направление счета

process(clk) begin
if falling_edge(clk) then
if summ = "0000" and updown = '0' then
updown <= not updown;
elsif summ = "1111" and updown = '1' then
updown <= not updown;
end if;
end if;
end process;


Сообщение отредактировал kostage - Sep 21 2012, 18:27
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th July 2025 - 23:42
Рейтинг@Mail.ru


Страница сгенерированна за 0.01382 секунд с 7
ELECTRONIX ©2004-2016