реклама на сайте
подробности

 
 
 
Reply to this topicStart new topic
> ошибка при компиляции vhdl фильтра
NikSave
сообщение Nov 12 2012, 14:40
Сообщение #1


Частый гость
**

Группа: Участник
Сообщений: 87
Регистрация: 26-05-09
Пользователь №: 49 592



Написал фильтр, который работает в более мощной ПЛИС. Попытался его перенести в ПЛИС xc6vlx75t-1ff484. При компиляции появились ошибки:
ERROR:Place:1073 - Placer was unable to create RPM[DSP_Cascade_RPMs] for the
component XLXI_291/Maddsub_Ire[11][13]_K[5][15]_MuLt_206_OUT of type DSP48E1
for the following reason.
The reason for this issue:
The logic does not fit onto the chip in this form. Note that this logic had
to be merged with an already existing RPM which may have caused this issue.
The following components are part of this structure:
ERROR:Place:1073 - Placer was unable to create RPM[DSP_Cascade_RPMs] for the
component XLXI_291/Maddsub_Ire[11][13]_K[5][15]_MuLt_206_OUT of type DSP48E1
for the following reason.
The reason for this issue:
The logic does not fit onto the chip in this form. Note that this logic had
to be merged with an already existing RPM which may have caused this issue.
The following components are part of this structure:
ERROR:Pack:1654 - The timing-driven placement phase encountered an error.

Если длину фильтра ставлю 48 отводов компиляция проходит успешно. При 50 отводах возникают показанные ошибки (желательно 64 отвода). Все происходит под ISE13.1. В чем проблема не понимаю. Может кто подскажет. Заранее спасибо за ответ
Текст самого фильтра представлен ниже:
entity filterchet is
generic (N : integer := 50;
BitSignal : integer := 14
);
Port ( clk : in STD_LOGIC;
Iin : in STD_LOGIC_VECTOR (BitSignal-1 downto 0);
Addr : in STD_LOGIC_VECTOR (7 downto 0);
we : in STD_LOGIC;
Coeff: in STD_LOGIC_VECTOR (15 downto 0);
Iout : out STD_LOGIC_VECTOR (13 downto 0)
);
end filterchet;

architecture Behavioral of filterchet is

type TMassiv is array (0 to N*2-1) of std_logic_vector(BitSignal-1 downto 0);
type TMassivCoef is array (0 to N-1) of std_logic_vector(15 downto 0);
type TMassivMult is array (0 to N-1) of std_logic_vector(BitSignal+16-1 downto 0);
type TMassivR is array (0 to N-1) of std_logic_vector(31 downto 0);

signal Ire : TMassiv;
signal K : TMassivCoef;
signal Mult : TMassivMult;

signal R : TMassivR;

begin

process (we)
begin
if we'event and we='1' then K(conv_integer(Addr)) <= Coeff;
end if;
end process;

process(clk)
begin
if clk'event and (clk='1') then
Ire(0) <= Iin;
for i in 1 to N*2-1 loop
Ire(i) <= Ire(i-1);
end loop;
end if;
end process;

process (clk)
begin
if clk'event and (clk='1') then
for i in 0 to N-1 loop
Mult(i) <= signed(Ire(i*2+1)) * signed(K(i));
end loop;

R(0) <= sxt(Mult(0), 32);

for i in 1 to N-1 loop
R(i) <= signed(Mult(i)) + signed(R(i-1));
end loop;
end if;
end process;

process (clk)
begin
if (clk'event) and (clk='1') then
Iout(13) <= R(N-1)(31);
Iout(12 downto 0) <= R(N-1)(25 downto 13);
end if;
end process;

end Behavioral;
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Nov 13 2012, 04:24
Сообщение #2


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Займёмся фигурным цитированием.

Цитата(NikSave @ Nov 12 2012, 18:40) *
Написал фильтр, который работает в более мощной ПЛИС. Попытался его перенести в ПЛИС xc6vlx75t-1ff484.
[...]
The logic does not fit onto the chip in this form.
[...]
Если длину фильтра ставлю 48 отводов компиляция проходит успешно. При 50 отводах возникают показанные ошибки (желательно 64 отвода).


Вам перевести
Цитата
The logic does not fit onto the chip in this form
?
Go to the top of the page
 
+Quote Post
NikSave
сообщение Nov 13 2012, 14:23
Сообщение #3


Частый гость
**

Группа: Участник
Сообщений: 87
Регистрация: 26-05-09
Пользователь №: 49 592



Цитата(andrew_b @ Nov 13 2012, 08:24) *
Займёмся фигурным цитированием.



Вам перевести ?

Переводить не нужно. А вот разъяснить было бы неплохо. Почему он не разводиться?, ведь блоков DSP48E1 в данной микросхеме 288 - хватает с запасом. Это что нереализуемо?. Может фильтр разбить на два куска?
Go to the top of the page
 
+Quote Post
inventor
сообщение Nov 13 2012, 14:47
Сообщение #4


Знающий
****

Группа: Свой
Сообщений: 524
Регистрация: 25-12-08
Из: Москва
Пользователь №: 42 748



а 32 и 16 поместит?
Go to the top of the page
 
+Quote Post
NikSave
сообщение Nov 13 2012, 15:35
Сообщение #5


Частый гость
**

Группа: Участник
Сообщений: 87
Регистрация: 26-05-09
Пользователь №: 49 592



Цитата(inventor @ Nov 13 2012, 18:47) *
а 32 и 16 поместит?

Я пока не пробовал. как-то это коряво выглядит Но, подозреваю, что получиться. Ведь небывает так, что имеем 288 блоков DSP и использовать их не получается. Кстати этот фильтр в ПЛИС xc6vlx240t длинной 112 отводов прекрасно укладывался аж в двух экземплярах на два канала. А в этой ПЛИС прям беда. :-)
Go to the top of the page
 
+Quote Post
Mogwaika
сообщение Nov 13 2012, 16:54
Сообщение #6


Частый гость
**

Группа: Участник
Сообщений: 90
Регистрация: 11-09-11
Пользователь №: 67 121



Цитата(NikSave @ Nov 13 2012, 19:35) *
Я пока не пробовал. как-то это коряво выглядит Но, подозреваю, что получиться. Ведь небывает так, что имеем 288 блоков DSP и использовать их не получается. Кстати этот фильтр в ПЛИС xc6vlx240t длинной 112 отводов прекрасно укладывался аж в двух экземплярах на два канала. А в этой ПЛИС прям беда. :-)

а сколько дсп48 блоков он юзает в большой плисине?
Поиграйтесь с настройками optimization area/speed
Go to the top of the page
 
+Quote Post
tegumay
сообщение Nov 13 2012, 17:04
Сообщение #7


Местный
***

Группа: Свой
Сообщений: 264
Регистрация: 16-09-05
Из: Москва
Пользователь №: 8 640



кроме дсп блоков нужна логика скорее всего в ней затык. надо смотреть как ваша схема укладывается в плис.
к тому же лучше укажите в какую плис проект уложился. тогда и можно рассуждать.
семейства разные фичи тоже.


--------------------
;X
Go to the top of the page
 
+Quote Post
Самурай
сообщение Nov 13 2012, 17:20
Сообщение #8


Местный
***

Группа: Участник
Сообщений: 468
Регистрация: 4-03-05
Пользователь №: 3 066



Цитата(NikSave @ Nov 12 2012, 18:40) *
Написал фильтр, который работает в более мощной ПЛИС. Попытался его перенести в ПЛИС xc6vlx75t-1ff484. При компиляции появились ошибки: ...

Если длину фильтра ставлю 48 отводов компиляция проходит успешно. При 50 отводах возникают показанные ошибки (желательно 64 отвода). Все происходит под ISE13.1. В чем проблема не понимаю. Может кто подскажет. Заранее спасибо за ответ


Ну, в чем проблема предположить наверно можно: RTFM:)

Можно и развернуть: Все 288 DSP48E1 блока в xc6vlx75t разделены на 6 столбцов по 48 DSP48E1 блока в каждом столбце. Но каскадировать DSP48E1 блоки можно только в одном столбце (мелкий шрифт тоже нужно читать, см. "Virtex-6 FPGA DSP48E1 User Guide", рис.1-1).

Для каскадного соединения всех столбцов нужно задействовать обычную логику. У Вас же код написан так, что каскадируются все 50 DSP48E1 блоков. Разбивайте фильтр на две части.

Да, в 240T уже 8 столбцов по 96 DSP48E1 блоковsm.gif
Go to the top of the page
 
+Quote Post
NikSave
сообщение Nov 14 2012, 14:09
Сообщение #9


Частый гость
**

Группа: Участник
Сообщений: 87
Регистрация: 26-05-09
Пользователь №: 49 592



Цитата(Самурай @ Nov 13 2012, 21:20) *
Ну, в чем проблема предположить наверно можно: RTFM:)

Можно и развернуть: Все 288 DSP48E1 блока в xc6vlx75t разделены на 6 столбцов по 48 DSP48E1 блока в каждом столбце. Но каскадировать DSP48E1 блоки можно только в одном столбце (мелкий шрифт тоже нужно читать, см. "Virtex-6 FPGA DSP48E1 User Guide", рис.1-1).

Для каскадного соединения всех столбцов нужно задействовать обычную логику. У Вас же код написан так, что каскадируются все 50 DSP48E1 блоков. Разбивайте фильтр на две части.

Да, в 240T уже 8 столбцов по 96 DSP48E1 блоковsm.gif


О!!! Вот этого ответа я и ждал. Причина неразводки изложена кратко, локанично. Уважуха. Большое спасибо. Теперь все понятно.
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 15th June 2025 - 17:54
Рейтинг@Mail.ru


Страница сгенерированна за 0.01425 секунд с 7
ELECTRONIX ©2004-2016