реклама на сайте
подробности

 
 
 
Reply to this topicStart new topic
sergey_ksv
сообщение Sep 5 2015, 13:43
Сообщение #1





Группа: Участник
Сообщений: 5
Регистрация: 5-09-15
Пользователь №: 88 292



Добрый день.

Помогите, пожалуйста, разобраться с первым проектом для NIOS.

Связываю логику на плис (Cyclone III) и nios. Если конкретнее - в проекте имеется : PLL(мегафункция) , различная логика(24МГц с PLL) и nios(120 МГц c PLL). Логика собирает и обрабатывает данные, далее данные передаются на nios для дальнейшей передачи по usb. Так же часть логики управляется по линии PC-USB-NIOS-логика.
Для приёма данных от логики из плис используется 32-х битная шина , и три управляющих сигнала: read - запрос данных, write - передача данных(пока линия активна - ожидаем приём данных), и latch - готовность данных на линии, по фронту этого сигнала срабатывает прерывание и nios заглатывает данные с 32-х битной шины. Т.е. по запросу READ от nios - логика начинает процесс передачи данных на шину, происходит это за несколько транзакций, сигнал WRITE устанавливается логикой пока данные не будут полностью переданы.
Собственно проблема - "данный интерфейс". Данные теряются или вообще с линии читаются нули. К замечанию по поводу что не успеваю отрабатывать захват данных ниосом и тем самым теряю их, то скажу что имеется запас по частоте(120/24), и данные валидны на линии не менее 150 клоков логики (24 МГц). Плюс к этому замечено, что если на вход 32 битного порта nios повесить константной значение - всё работает на ура (в коде - //.testdata_export ({32{1'b1}})). Копаю в сторону SDC с TimeQuest и возможного использования FIFO вместо этой бороды. Заранее спасибо всем откликнувшимся!
Код
//transmit module template
TransmitModule #(.outputDataWidth(outputDataWidth),              
                     .inputDataWidth(inputDataWidth)) transData (
                                               .clk(clk),
                                               .read(read),
                                               .wInputData(wInputData),                                                                                
                                               .wOutputData(wOutputData),
                                               .latchSignal(latchSignal),
                                               .write(write)
                                               );            
                          
                        
QSysNios u0 (
        .clk_clk                   (clk120),                   //                 clk.clk
        .pioled_export             (port),             //              pioled.export
        .parallelport_export       (parallPort),       //        parallelport.export
        .controlusb_export         (USBctrl),         //          controlusb.export
        .usbinterrupt_export       (USBirq),       //        usbinterrupt.export
        .usbadr_export             (USBadr),             //              usbadr.export
        .epcsflashprogrammer_dclk  (DCLKw),  // epcsflashprogrammer.dclk
        .epcsflashprogrammer_sce   (SCEw),   //                    .sce
        .epcsflashprogrammer_sdo   (SDOw),   //                    .sdo
        .epcsflashprogrammer_data0 (DATA0w), //                    .data0
        .testdatacontrolinput_export   ({1'b0,latchSignal,write}),   //     testdatacontrol.in_port
        .testdatacontroloutput_export  (read),  //                    .out_port
        .testdata_export           (wOutputData),           //            testdata.export
    //.testdata_export           ({32{1'b1}}),          
        .testcontrol_export        (wCommandTestReg)        //         testcontrol.export


Вот что в SDC на данный момент :
create_clock -name "clkRef" -period 41.666ns [get_ports {clkRef}]
derive_pll_clocks -create_base_clocks
set_clock_groups -exclusive -group {clkRef} -group {pll_inst|altpll_component|auto_generated|pll1|clk[0]}
set_clock_groups -exclusive -group {clkRef} -group {pll_inst|altpll_component|auto_generated|pll1|clk[1]}
set_clock_groups -exclusive -group {pll_inst|altpll_component|auto_generated|pll1|clk[0]} -group {pll_inst|altpll_component|auto_generated|pll1|clk[1]}


Go to the top of the page
 
+Quote Post
vadimuzzz
сообщение Sep 5 2015, 14:36
Сообщение #2


Гуру
******

Группа: Свой
Сообщений: 2 291
Регистрация: 21-07-05
Пользователь №: 6 988



Цитата
Для приёма данных от логики из плис используется 32-х битная шина , и три управляющих сигнала: read - запрос данных, write - передача данных(пока линия активна - ожидаем приём данных), и latch - готовность данных на линии, по фронту этого сигнала срабатывает прерывание и nios заглатывает данные с 32-х битной шины.

зачем этот велосипед? открываете https://www.altera.com/content/dam/altera-w...avalon_spec.pdf , ищете подходящий тип интерфейса (навскидку - Avalon-MM Slave, пункт 3.5.3.1). проверяете в тестбенче и спокойно интегрируете с ниосом. это если отсчеты одиночные. если пачками, то прикрутить 2-портовую озушку (или FIFO) и генерировать прерывание на заполнение. интерфейс опять же Avalon-MM пойдет
Go to the top of the page
 
+Quote Post
sergey_ksv
сообщение Sep 5 2015, 15:52
Сообщение #3





Группа: Участник
Сообщений: 5
Регистрация: 5-09-15
Пользователь №: 88 292



Первый проект, и для меня пока что предложенное это какой то велосипед biggrin.gif )) можно поподробнее суть предложенного? Чем мой велосипед не дееспособен?
Go to the top of the page
 
+Quote Post
Maverick
сообщение Sep 5 2015, 17:55
Сообщение #4


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(sergey_ksv @ Sep 5 2015, 18:52) *
Первый проект, и для меня пока что предложенное это какой то велосипед biggrin.gif )) можно поподробнее суть предложенного? Чем мой велосипед не дееспособен?

может в том, что предлагают использовать стандартизированый интерфейс ( Avalon-MM), а не собственный...


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
vadimuzzz
сообщение Sep 6 2015, 00:41
Сообщение #5


Гуру
******

Группа: Свой
Сообщений: 2 291
Регистрация: 21-07-05
Пользователь №: 6 988



Цитата(sergey_ksv @ Sep 5 2015, 21:52) *
Первый проект, и для меня пока что предложенное это какой то велосипед biggrin.gif )) можно поподробнее суть предложенного? Чем мой велосипед не дееспособен?

как уже отметили, Avalon-MM - стандартный интерфейс. если придерживаться стандарта, то проблем с чтением невалидных данных можно легко избежать. и проблема у вас вряд ли в констрейнах, скорее в отсутствии адекватного тестбенча на модуль sm.gif

на свежую голову пришла идея, как обойтись парой портов PIO. сигналы данных - это первый порт, работает только на чтение. второй порт 1-битный, на него идет ваш latch. сигнал read будет разрешением прерывания на этом порту. ваша задача - корректно развязать логику в разных клоковых доменах
Go to the top of the page
 
+Quote Post
sergey_ksv
сообщение Sep 7 2015, 05:19
Сообщение #6





Группа: Участник
Сообщений: 5
Регистрация: 5-09-15
Пользователь №: 88 292



Цитата(vadimuzzz @ Sep 6 2015, 03:41) *
на свежую голову пришла идея, как обойтись парой портов PIO. сигналы данных - это первый порт, работает только на чтение. второй порт 1-битный, на него идет ваш latch. сигнал read будет разрешением прерывания на этом порту. ваша задача - корректно развязать логику в разных клоковых доменах

так и сделано, 3 отдельных порта, все строго направленные. Попробую разобраться с стандартными шинами Avalon, может подкину FIFO..
Go to the top of the page
 
+Quote Post
sergey_ksv
сообщение Sep 7 2015, 07:28
Сообщение #7





Группа: Участник
Сообщений: 5
Регистрация: 5-09-15
Пользователь №: 88 292



Есть идея прикрутить On-Chip FIFO в Qsys с одной стороны к NIOS посредством шины MM(я так понимаю это даст мне достаточно простой способ чтения данных NIOSом из FIFO используя API), а с другой - вывести их наружу из системы. Стоит вопрос какую шину выбрать наружу (ММ или ST) , и я так понимаю придётся писать "драйвер" шины со всеми вытекающими или есть готовые решения?
Go to the top of the page
 
+Quote Post
Maverick
сообщение Sep 7 2015, 07:39
Сообщение #8


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(sergey_ksv @ Sep 7 2015, 10:28) *
Есть идея прикрутить On-Chip FIFO в Qsys с одной стороны к NIOS посредством шины MM(я так понимаю это даст мне достаточно простой способ чтения данных NIOSом из FIFO используя API), а с другой - вывести их наружу из системы. Стоит вопрос какую шину выбрать наружу (ММ или ST) , и я так понимаю придётся писать "драйвер" шины со всеми вытекающими или есть готовые решения?

пример avalon-memory-slave от Altera
Аvalon ММ идеальный при работе с памятью


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 22nd August 2025 - 03:56
Рейтинг@Mail.ru


Страница сгенерированна за 0.0181 секунд с 7
ELECTRONIX ©2004-2016