реклама на сайте
подробности

 
 
 
Reply to this topicStart new topic
> Язык описания аппаратуры в Tanner
DSIoffe
сообщение Aug 26 2016, 11:56
Сообщение #1


Дима
*****

Группа: Свой
Сообщений: 1 683
Регистрация: 15-12-04
Из: Санкт-Петербург
Пользователь №: 1 486



Здравствуйте все!
Скажите, пожалуйста, кто знает: есть ли возможность ввести в Tanner часть схемы на языке описания аппаратуры? Если есть, то на каком: VHDL, Verilog? И в какое место сунуться, чтобы начать?
Заранее признателен.


--------------------
© CОПЫРИГХТ: Дмитрий Иоффе, Советский Союз.
Приглашаю посмотреть: http://muradowa.spb.ru/ и http://www.drtata.narod.ru/index.html
Go to the top of the page
 
+Quote Post
zzzzzzzz
сообщение Aug 26 2016, 19:28
Сообщение #2


Профессионал
*****

Группа: Свой
Сообщений: 1 724
Регистрация: 1-05-05
Из: Нью Крыжопыль
Пользователь №: 4 641



Цитата(DSIoffe @ Aug 26 2016, 14:56) *
Здравствуйте все!
Скажите, пожалуйста, кто знает: есть ли возможность ввести в Tanner часть схемы на языке описания аппаратуры? Если есть, то на каком: VHDL, Verilog? И в какое место сунуться, чтобы начать?
Заранее признателен.

Можно. Структурный верилог.
File/import/verilog
Но, должна быть библиотека ячеек, на основе которой этот вериложный проект синтезирован.
Своего синтезатора у Таннера нет. Можно воспользоваться, например, Леонардо Спектрум.

Вроде, в примерах у Таннера что-то есть, можно потренироваться. Они устанавливаются при первом запуске любой проги пакета, но можно и самому найти сетап в дистрибе.

Сам именно по такому пути не ходил. Сразу edn в SPR пихал. Зачем нужна гигантская нечитаемая схема электрическая?
Go to the top of the page
 
+Quote Post
DSIoffe
сообщение Aug 29 2016, 13:29
Сообщение #3


Дима
*****

Группа: Свой
Сообщений: 1 683
Регистрация: 15-12-04
Из: Санкт-Петербург
Пользователь №: 1 486



Спасибо.
Сам я в Tanner не работаю и слов таких не знаю, к сожалению: edn, SPR. Я хотел вот чего.
Есть группа людей, с которыми я контачу по работе. Они рисуют цифровые узлы, например, счётчики, снизу вверх. Есть транзисторы, они из них набирают триггеры, из триггеров - счётчики, при этом держат в голове, как должен работать каждый триггер счётчика, и вручную рисуют ему управляющие цепи. Как-то они просили меня помочь нарисовать цифровую часть, но я не знаю, с какой стороны подойти.
Я хотел написать код счётчика и прочей цифровой части, скажем, на VHDL, и передать им, а они бы как-то подсунули этот код Tanner-у. Не получится?
И что такое структурный Verilog? Я не смог найти такого словосочетания.
Заранее признателен.


--------------------
© CОПЫРИГХТ: Дмитрий Иоффе, Советский Союз.
Приглашаю посмотреть: http://muradowa.spb.ru/ и http://www.drtata.narod.ru/index.html
Go to the top of the page
 
+Quote Post
yes
сообщение Aug 29 2016, 14:33
Сообщение #4


Гуру
******

Группа: Свой
Сообщений: 2 198
Регистрация: 23-12-04
Пользователь №: 1 640



Цитата(DSIoffe @ Aug 29 2016, 16:29) *
И что такое структурный Verilog? Я не смог найти такого словосочетания.


структурный, это когда описывается не поведение, а структура из элементов (то есть не подойдет sm.gif)

счетчик это не
cnt<=cnt+1;

а что-то типа
OA1 \accum.phase_ret_1_RNO (
.Y(I2_un5_CO1_reti),
.A(I1_un5_CO1_reti),
.B(ADD_32x32_slow_I1_CO1_0_reti),
.C(phase_RNIV9I01[2])
);
// @12:180
DFN1 \accum.phase_ret_Z (
.Q(I1_un5_CO1),
.CLK(CLK_I_c),
.D(I1_un5_CO1_reti)
);
// @12:180
DFN1 \accum.code_nco_freq_ret_1_Z (
.Q(ADD_32x32_slow_I1_CO1_0),
.CLK(CLK_I_c),
.D(ADD_32x32_slow_I1_CO1_0_reti)
);
// @12:180
DFN1 \phase_Z[2] (
.Q(code_phase_out[2]),
.CLK(CLK_I_c),
.D(phase_RNIV9I01[2])

sm.gif))))))))

можно посмотреть на сгенеренных нетлистах, например, для симуляции
Go to the top of the page
 
+Quote Post
DSIoffe
сообщение Aug 29 2016, 14:55
Сообщение #5


Дима
*****

Группа: Свой
Сообщений: 1 683
Регистрация: 15-12-04
Из: Санкт-Петербург
Пользователь №: 1 486



Цитата(yes @ Aug 29 2016, 17:33) *
структурный, это когда описывается не поведение, а структура из элементов (то есть не подойдет sm.gif)
...
можно посмотреть на сгенеренных нетлистах, например, для симуляции

Нда.
Такое можно получить из описания поведения и подсунуть Tanner-у?


--------------------
© CОПЫРИГХТ: Дмитрий Иоффе, Советский Союз.
Приглашаю посмотреть: http://muradowa.spb.ru/ и http://www.drtata.narod.ru/index.html
Go to the top of the page
 
+Quote Post
Shivers
сообщение Aug 30 2016, 07:38
Сообщение #6


Знающий
****

Группа: Свой
Сообщений: 680
Регистрация: 11-02-08
Из: Msk
Пользователь №: 34 950



Есть три варианта получения нетлиста:
1. написать RTL (описание поведения) на VHDL/Verilog, а затем синтезировать в отдельном сапр - об этом писал zzzzzzzz.
2. второй по извратности вариант - сразу ввести схему в текстовом редакторе (формат - структурный верилог, он же - нетлист), как в посте yes.
3. самый хардкор - есть умельцы, которые используют ORCAD старых версий: рисуют схему в графическом редакторе печатных плат с использованием элементов библиотеки, а потом используют опцию - выписать нетлист.
Во всех трех случаях необходимо сначала раздобыть библиотеку элементов, о чем и написал zzzzzzzz.
Go to the top of the page
 
+Quote Post
DSIoffe
сообщение Aug 30 2016, 09:49
Сообщение #7


Дима
*****

Группа: Свой
Сообщений: 1 683
Регистрация: 15-12-04
Из: Санкт-Петербург
Пользователь №: 1 486



Цитата(Shivers @ Aug 30 2016, 10:38) *
Есть три варианта получения нетлиста:
1. написать RTL (описание поведения) на VHDL/Verilog, а затем синтезировать в отдельном сапр - об этом писал zzzzzzzz.
...
Во всех трех случаях необходимо сначала раздобыть библиотеку элементов, о чем и написал zzzzzzzz.

Ага, спасибо, уже понятнее.
А какой САПР это сможет?
Что должно быть в библиотеке? Целые триггеры и логика?


--------------------
© CОПЫРИГХТ: Дмитрий Иоффе, Советский Союз.
Приглашаю посмотреть: http://muradowa.spb.ru/ и http://www.drtata.narod.ru/index.html
Go to the top of the page
 
+Quote Post
Shivers
сообщение Aug 30 2016, 18:09
Сообщение #8


Знающий
****

Группа: Свой
Сообщений: 680
Регистрация: 11-02-08
Из: Msk
Пользователь №: 34 950



САПРов синтеза не так много. Есть у Synopsys (Design Compiler), есть у Cadence (Genus / RC), у Ментора - Oasys. Их лицензии исчисляются десятками-сотнями тысяч баксов в год (ломаные есть и в местных закромах). Бесплатные/фриварные синтезаторы тоже вроде бы есть - ищите темы по бесплатным тулам. Есть еще бесплатный синтезатор для математиков Espresso, который минимизирует функции без учета логического базиса, но его будет тяжело приспособить для проектирования схем.

Библиотека предоставляется фабрикой (завод, где Вы собрались микросхему выпускать), и поставляется комплектом из всевозможных форматов (поведенческое описание, спайс-нетлист, геометрия, топология и т.д.). Библиотека содержит все что нужно для проектирования: логику, триггеры, иногда защелки, клок-гейты, диоды и т.д. И в большинстве случаев, она тоже стоит денег.
Go to the top of the page
 
+Quote Post
zzzzzzzz
сообщение Sep 8 2016, 07:32
Сообщение #9


Профессионал
*****

Группа: Свой
Сообщений: 1 724
Регистрация: 1-05-05
Из: Нью Крыжопыль
Пользователь №: 4 641



Цитата(DSIoffe @ Aug 29 2016, 16:29) *
Спасибо.
Сам я в Tanner не работаю и слов таких не знаю, к сожалению: edn, SPR. Я хотел вот чего.
Есть группа людей, с которыми я контачу по работе. Они рисуют цифровые узлы, например, счётчики, снизу вверх. Есть транзисторы, они из них набирают триггеры, из триггеров - счётчики, при этом держат в голове, как должен работать каждый триггер счётчика, и вручную рисуют ему управляющие цепи. Как-то они просили меня помочь нарисовать цифровую часть, но я не знаю, с какой стороны подойти.
Я хотел написать код счётчика и прочей цифровой части, скажем, на VHDL, и передать им, а они бы как-то подсунули этот код Tanner-у. Не получится?
И что такое структурный Verilog? Я не смог найти такого словосочетания.
Заранее признателен.
Не заморачивайтесь. Пишите поведенческий VHDL, отлаживайте его и отдавайте этим людям.
Всё, что нужно, они сами смогут с ним сделать. Хороший поведенческий код - это самое лучшее решение.
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 28th April 2024 - 06:21
Рейтинг@Mail.ru


Страница сгенерированна за 0.01447 секунд с 7
ELECTRONIX ©2004-2016