реклама на сайте
подробности

 
 
> Подключение 2-х канального ЦАП к fpga
fertna18
сообщение Jun 16 2018, 14:43
Сообщение #1


Участник
*

Группа: Участник
Сообщений: 33
Регистрация: 24-03-17
Пользователь №: 96 039



Уважаемые форумчане подскажите новичку. Необходимо подключить цап. Выдать на него синусоиду. ЦАП имеет две диф шины - для чётных и нечётных данных. Каким образом мне разбить поток на четные и нечётные значения?
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов (1 - 7)
Lmx2315
сообщение Jun 16 2018, 15:13
Сообщение #2


отэц
*****

Группа: Свой
Сообщений: 1 729
Регистрация: 18-09-05
Из: Москва
Пользователь №: 8 684



а как цап называется?


--------------------
b4edbc0f854dda469460aa1aa a5ba2bd36cbe9d4bc8f92179f 8f3fec5d9da7f0
SHA-256
Go to the top of the page
 
+Quote Post
fertna18
сообщение Jun 16 2018, 15:42
Сообщение #3


Участник
*

Группа: Участник
Сообщений: 33
Регистрация: 24-03-17
Пользователь №: 96 039



Цитата(Lmx2315 @ Jun 16 2018, 15:13) *
а как цап называется?

Ad9739
Go to the top of the page
 
+Quote Post
Lmx2315
сообщение Jun 16 2018, 18:45
Сообщение #4


отэц
*****

Группа: Свой
Сообщений: 1 729
Регистрация: 18-09-05
Из: Москва
Пользователь №: 8 684



https://wiki.analog.com/resources/fpga/xilinx/fmc/ad9739a?s[]=ad9739a
тут смотрите референсы

какой-то код, возможно тот что надо

https://github.com/analogdevicesinc/fpgahdl...log/cf_dac_if.v


--------------------
b4edbc0f854dda469460aa1aa a5ba2bd36cbe9d4bc8f92179f 8f3fec5d9da7f0
SHA-256
Go to the top of the page
 
+Quote Post
fertna18
сообщение Jun 16 2018, 21:38
Сообщение #5


Участник
*

Группа: Участник
Сообщений: 33
Регистрация: 24-03-17
Пользователь №: 96 039



Цитата(Lmx2315 @ Jun 16 2018, 18:45) *
https://wiki.analog.com/resources/fpga/xilinx/fmc/ad9739a?s[]=ad9739a
тут смотрите референсы

какой-то код, возможно тот что надо

https://github.com/analogdevicesinc/fpgahdl...log/cf_dac_if.v

Я читал эту инфу - там с использованием микроблейза, а мне необходимо чисто на vhdl
Go to the top of the page
 
+Quote Post
Amurak
сообщение Jun 17 2018, 05:29
Сообщение #6


Местный
***

Группа: Свой
Сообщений: 211
Регистрация: 18-11-12
Пользователь №: 74 459



Цитата(fertna18 @ Jun 16 2018, 17:43) *
Уважаемые форумчане подскажите новичку. Необходимо подключить цап. Выдать на него синусоиду. ЦАП имеет две диф шины - для чётных и нечётных данных. Каким образом мне разбить поток на четные и нечётные значения?


Код
signal din_sw : std_logic := '0';
signal din, dout_odd, dout_even : std_logic_vector(13 downto 0) := (others => '0');
--

process(CLK)
begin
    if (rising_edge(CLK)) then
        din_sw <= not din_sw;
        if (din_sw = '0') then
            dout_odd <= din;    -- нечетные
        else
            dout_even <= din;    -- четные
        end if;
    end if;
end process;


После этого нужно пересинхронизировать данные с CLK на CLK/2.
Go to the top of the page
 
+Quote Post
fertna18
сообщение Jun 17 2018, 07:37
Сообщение #7


Участник
*

Группа: Участник
Сообщений: 33
Регистрация: 24-03-17
Пользователь №: 96 039



Цитата(Amurak @ Jun 17 2018, 05:29) *
После этого нужно пересинхронизировать данные с CLK на CLK/2.

Спасибо. Но как раз таки здесь я и столкнулся с проблемой. Тактирование ЦАП - DACclk=2100 MHz. На плис поступает DACclk/4. DDS - максимальная 550 MHz, поэтому 525 нормально. Но вот и как мне сделать clk/2?
Go to the top of the page
 
+Quote Post
fertna18
сообщение Jun 17 2018, 09:04
Сообщение #8


Участник
*

Группа: Участник
Сообщений: 33
Регистрация: 24-03-17
Пользователь №: 96 039



Цитата
Спасибо. Но как раз таки здесь я и столкнулся с проблемой.

Вроде разобрался. Просто поставлю serdes да и всё.
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 19th July 2025 - 09:31
Рейтинг@Mail.ru


Страница сгенерированна за 0.01416 секунд с 7
ELECTRONIX ©2004-2016