|
|
  |
банальный вопрос, двунаправленные порты |
|
|
|
May 27 2007, 23:51
|
Частый гость
 
Группа: Свой
Сообщений: 186
Регистрация: 23-04-06
Из: Сочи
Пользователь №: 16 411

|
Всем привет!  Имеется код описания устройства с INOUT в МАХ+. Как его только промоделировать не знаю. В симуляторе не устанавливается нужное значение на выходе, а устанавливается "Х". Можно ли промоделировать его, без тестбенча, а только используя редактор в симуляторе Код LIBRARY IEEE; USE IEEE.std_logic_1164.all;
ENTITY env IS PORT ( OE : in std_logic; Data_in_out : inout std_logic_vector(2 downto 0); Data_in : in std_logic_vector(2 downto 0); data_out : out std_logic_vector(2 downto 0)); END env;
ARCHITECTURE work OF env IS
SIGNAL data: std_logic_vector(2 downto 0);
BEGIN data_out <= data_in_out when OE = '0';
data_in_out <= Data_in when OE = '1' else (others=>'Z'); END env; + еще такой вопрос. При таком коде синезируется такой вот пин???
Эскизы прикрепленных изображений
|
|
|
|
|
May 29 2007, 12:08
|
Частый гость
 
Группа: Свой
Сообщений: 92
Регистрация: 16-05-05
Из: Kiev
Пользователь №: 5 080

|
Цитата(skilful @ May 28 2007, 02:51)  Можно ли промоделировать его, без тестбенча, а только используя редактор в симуляторе Легко. Как выше сказали, по всей диаграмме на двунаправленный пин подать сигнал z-уровня, а поверх него в соответствующих местах, где ое в нужном положении (буфер развёрнут на вход), подавать нужное значение.
|
|
|
|
|
May 29 2007, 18:57
|

Участник

Группа: Свой
Сообщений: 64
Регистрация: 7-04-07
Из: Днепропетровск
Пользователь №: 26 849

|
Цитата(sazh @ May 28 2007, 21:40)  тестбенчи это для сторонних симуляторов. В ISE Xilinx встроенный симулятор, который работает с тестбенчами и не позволяет вводить воздействия графическим способом. Плохой тон - смешивать в одном тексте описание устройства и воздействия на него. Будет крупный проект - текст станет не читаемый.
|
|
|
|
|
May 30 2007, 17:23
|
Частый гость
 
Группа: Свой
Сообщений: 85
Регистрация: 6-05-07
Пользователь №: 27 538

|
Цитата В ISE Xilinx встроенный симулятор, который работает с тестбенчами и не позволяет вводить воздействия графическим способом. Хотелось бы узнать с какой это версии ISE перестал поддерживать графический ввод входных сигналов тестбенчей???
|
|
|
|
|
May 30 2007, 19:15
|

Участник

Группа: Свой
Сообщений: 64
Регистрация: 7-04-07
Из: Днепропетровск
Пользователь №: 26 849

|
Цитата(Rendom @ May 30 2007, 20:23)  Хотелось бы узнать с какой это версии ISE перестал поддерживать графический ввод входных сигналов тестбенчей??? Мдаааа... Неловко как-то... Есть графический ввод... Извиняйте за маленькую дезинформацию. Ну ничего, никогда не поздно узнать что-нибудь новое!
|
|
|
|
|
May 30 2007, 19:19
|
Местный
  
Группа: Свой
Сообщений: 496
Регистрация: 14-03-07
Из: In The District
Пользователь №: 26 165

|
Цитата(SunnyAngel @ May 30 2007, 15:15)  Мдаааа... Неловко как-то... Есть графический ввод... Извиняйте за маленькую дезинформацию. Ну ничего, никогда не поздно узнать что-нибудь новое! Про графический ввод имеется в виду testbench waveform (.tbw)?
--------------------
In Mozilla, you keep tabs on your browser. In the USSR, your browser keeps tabs on you.
|
|
|
|
|
May 30 2007, 19:26
|

Участник

Группа: Свой
Сообщений: 64
Регистрация: 7-04-07
Из: Днепропетровск
Пользователь №: 26 849

|
Цитата(CodeWarrior1241 @ May 30 2007, 22:19)  Про графический ввод имеется в виду testbench waveform (.tbw)? да, про временные диаграммы
|
|
|
|
|
  |
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|