Хочу поправить себя.
Ручное формирование входного буфера не мешает синтезатору автоматически добавить глобальный буфер.
Так как подавать клок по локальным проводам бывает полезно, такая возможность обычно есть.
Ключевое знание, которое извлекается из XST User's guide или аналогичного документа для другого синтезатора - атрибут
buffer_type.
Пример ниже проверил для Virtex-IIpro и Virtex-5.
Код
library IEEE;
use IEEE.std_logic_1164.all;
entity clk_buf is
port (
local_clk : in std_logic;
byte_in : in std_logic_vector(7 downto 0);
bute_out : out std_logic_vector(7 downto 0)
);
end clk_buf;
architecture xilinx of clk_buf is
attribute buffer_type: string;
attribute buffer_type of local_clk: signal is "ibuf";
begin
process(local_clk)
begin
if rising_edge(local_clk) then
bute_out <= byte_in;
end if;
end process;
end xilinx;