|
Моделирование RS-триггера, Создать модель и дать ответ |
|
|
|
Nov 25 2005, 08:03
|
Участник

Группа: Новичок
Сообщений: 33
Регистрация: 29-07-05
Пользователь №: 7 194

|
Цитата(SM @ Nov 24 2005, 22:42)  1). Про то, как моделирую я. В принципе. Сначала я моделирую на верилоге. "чиста цифровым методом". Как Вы. Это так, прикидочная модель. Вот! Вот такая "прикидочная модель" меня и интересует. И очень (!) интересует поведение Вашего триггера именно на этом уровне. Поэтому сообщите, если не трудно  Цитата(SM @ Nov 24 2005, 22:42)  Которой доверять нельзя. На ней можно сказать, соответствует цифровая схема поставленной задаче или нет на уровне логики. Ей можно и нужно доверять, но, как правильно Вы заметили, на уровне логики. Но это и есть основной уровень отлавливания ошибок. Все остальное, что далее (здесь ниже), чтобы обеспечить правильность работы логического уровня. Я так это понимаю. Но, главное, что я нахожу подтверждение этим моим мыслям. Цитирую: "уровень логических вентилей, традиционно играет основную роль при проектировании цифровых схем и систем..." (Армстронг. Моделирование цифровых систем на языке VHDL". На этом уровне и нужно вылавливать максимум ошибок. Да, реальный триггер может и не будет генерировать, но если на вентильном уровне для этого есть предпосылки, то их нужно или устранить (на этом же уровне) или ... перенести решение этого вопроса на следующие уровни (например, создав триггера с заведомо разными задержками)... Цитата(SM @ Nov 24 2005, 22:42)  В процессе такого моделирования я исправляю неточности в нетлисте, которые бывают у синтезаторов. Затем все ложится на кристалл.... Синтезируются деревья клоков, делается разводка. После этого делаю экстаркцию паразитов, тоже прикидочную, получаю на основе этого SDF-файл, и опять прогоняю тест-бенч на верилоге. Опять это ... Так что окончательно симулирую я цифровые схемы именно в аналоге. Основную симуляцию. Остальные так, для скорости, так как они могут показать только очень грубые ошибки. Такое тщательное проектирование нужно, как мне представляется, когда логика (вентили) работают на пределе своих возможностей, когда "паразиты" имеют влияние и т.д. и т.п. Для Вас, видимо, это важно. Но если, к примеру, я работаю с готовой логикой ("рассыпухой"), то мне будет достаточно и вентильного уровня. И тех проверок, которые могут быть там заложены. На этом уровне основные "паразиты" часто это просто гонкт сигналов, который сильно зависят от разброса задержек. Цитата(SM @ Nov 24 2005, 22:42)  Я совершенно не разделяю вашего подхода к моделированию процессов, зависящих ИСКЛЮЧИТЕЛЬНО от внутренней схемотехники транзисторного уровня. Я здесь Вас не понял. Как раз внутреннюю схемотехнику я не трогаю. Я в этой ситуации могу только выбрать те микросхемы, которые проектируете именно Вы, зная с какой тщательностью Вы это делаете. А как Вы это делаете - я тут целиком доверяюсь Вам  Цитата(SM @ Nov 24 2005, 22:42)  Это все равно, что построить модель однотранзисторного усилителя на транзисторе, у которого из параметров задан только h21э и на такой моделе пытаться анализировать зависимость точки покоя от температуры. Вы ничего не получите! Одно уточнение. Я могу создать и эту модель и модель любой другой сложности. Цитата(SM @ Nov 24 2005, 22:42)  Точно также и у Вас - вы сами навесите на элементы какие-то задержки, и естественно, если захотите, оно загенерит, если не захотите - не загенерит. Тут Вы ошибаетесь. Я стараюсь делать не как мне нужно, а как должно быть. Оттого я так долго например выяснял вопрос с генерацией триггера. Теперь мне ясно - модель логического элемента должна включать задержку инерционного типа. Я ее сделал. Теперь триггер работает не так как я хочу, а примерно так, как Вы описываете. Цитата(SM @ Nov 24 2005, 22:42)  Это не моделирование. Это, извините за выражение, онанизм - сам задам, что схема должна генерить, и посмотрю, о!, в натуре генерит... Ай как правильно моделятор смоделировал. Ситуация иная. Генерацию я рассчитываю строго математически (примерно, но немного не так как описано у упомянутого Шалыто). Тут как с 2x2. Как ты не хоти, но будет всегда четыре. Так и с триггером. При правильной модели элементов он при разных задержках не загенерирует ни в жизнь как бы мне этого не хотелось! Цитата(SM @ Nov 24 2005, 22:42)  Но, повторю, ничего он не смоделировал. И не надо пытаться упрощать себе жизнь упрощением моделей. Я не упрощаю, а задаю условия работы своей системы, за которые я не должен выходить, чтобы гарантировать работоспособность. Цитата(SM @ Nov 24 2005, 22:42)  Не существует в природе идеальных фронтов сигналов. Не бывает их одинаковых у двух элементов. Все зависит от скорости на которой работает элемент. Вы же это знаете и без меня. Цитата(SM @ Nov 24 2005, 22:42)  Кстати, при замыкании того-же реле еще и дребезг есть, и скорость нарастания фронта тоже вполне конечная, я уж не говорю что там при размыкании, особенно если в нагрузке еще одно реле (точнее индуктивность). В общем - моделируйте процессы в тех средах моделирования, которые смогут корректно их смоделировать, и на тех уровнях, на которых они происходят. Вы пытаетесь, грубо говоря, используя исключительно математику целых чисел с операциями + - * / доказать какую нибудь интегральную теорему и ТФКП. Не выйдет. Да нет же. Я просто не лезу в ту область, где все это начинает сказываться. Цитата(SM @ Nov 24 2005, 22:42)  Про мой триггер - у него никогда не было двух выходов. У него один выход. Инверсный. Прямой выход выходом не является, это всего лишь цепь обратной связи, выполняемая на транзисторах с большим L. На такой "выход" нагрузку подключать категорически запрещено. И не надо тут придумывать запрещенные состояния на выходах, ибо он один. На вентильном уровне все это не имеет значения. Но, безусловно, зная условия дальнейшей реализации Вы все это о чем написали будете учитывать в своей схеме. Я же вел речь о той схеме, которую Вы дали. На этом уровне - это система из двух элементов, как и обычный триггер. Как для триггера я строю и ее модель. И потому, если не выходов, то множеств состояний у Вашего триггера столько же сколько и у обычного RS-триггера - т.е. четыре (00, 01, 10, 11) и функция переходов будет такая: 00 = {11(^x1/-), 10(x1/-)}, 01 = {00(x1/-)}, 01 = {11(^x1/-)}, 11 = {00(x1/-)}. Это автомат Мили, знак ^ означает отрицание. Цитата(SM @ Nov 24 2005, 22:42)  А на входе у него запрещенных состояний нет. Нет, конечно. А вот на выходах (не на одном выходе!) есть. И еще раз для ясности. Речь идет об анализе данной схемы, как системы из двух вентилей. На этом уровне нагрузка учитывается лишь в том плане, что ее можно подключать или нет. Кстати, если у RS-триггера рассматривать только выход Q, то у него тоже не будет "запрещенных выходов". С той лишь разницей, что на второй выход триггера нагрузку можно подключать. Цитата(SM @ Nov 24 2005, 22:42)  Про автомат - это где же я сказал про переход в соседний автомат?  Я говорил про два состояния, и про то, что из каждого из них есть по два перехода в себя, и по одному - в соседнее. Убейте, но я Вас не понимаю. Как - "в соседнее". Выше я нарисовал результирующий автомат для всей системы. Там есть и свое и соседнее состояние. Но в эту Вашу фразу я не могу врубиться. Если не сложно, то можно это как-то описать в автоматной форме? Цитата(SM @ Nov 24 2005, 22:42)  И про воздействия. Причем тут КА и логические уровни? При описании КА я события (воздействия) описывать имею право хоть словами, хоть рисунками. И три слова - ноль, один и обрыв это вполне полноправные внешние воздействия на конечный автомат. Тут есть тонкий момент. Кодирование сигнала. У Вас оно троичное. Будет и другая модель и другая математика. Может, и отличия будут небольшими, но я бы пока эти вопросы оставил на потом... Цитата(SM @ Nov 24 2005, 22:42)  Еще раз повторю. Есть давно и не мной сформулированный критерий генерации в системе с ОС. Если он выполнен - загенерит. Если нет - не загенерит. А если в математических формулах, описывающих модель, нет паразитных емкостей и тепловых шумов, то это модель, не пригодная для моделирования данного процесса. А именно охвата триггера обратной связью. Такая модель не достаточна, она не описывает того, из-за чего возникает или не возникает генерация. В том то и дело, что генерация может возникать и на уровне логических вентилей. Например, в книге Гивоне, Россер "Микропроцессоры и микрокомпьютеры" в пар. 5.1 в разделе MS-триггер проблемы колебательных значений рассматриваются. См. рис.5.4 Схема с RS-триггером, в которой могут возникать колебания значений. Тут не "паразитов", шумов ни слова о критериях генерации в системе с ОС (да и ОС ли там?), а генерация (колебания) возникают. И выясняют все это на вентильном уровне. И пробуют уйти от этой проблемы, рассматривая MS-триггер... ЗЫ Но мне очень интересно поведение Вашей "прикидочной модели" (см. начало ответа) Что-то перестало работать выделение цитат.  Вроде делаю, как и раньше. В чем промашка? Цитата(vetal @ Nov 24 2005, 23:18)  В принципе, решение может быть простейшим - поставьте симулятор Modelsim или Active-HDL(несколько проще в освоении, с моей точки зрения). И далее создавайте модификации RS триггера, с разной начинкой. Не знаю найду ли время. Да и хотелось бы чтобы это сделали те, кто знают эти системы. У них это получится и быстрее и качественнее. Все это в строне от моей основной работы. Но на всякий случай - вдруг... В двух словах. В какой системе (меня интересует в основном Windows)? Где взять? (здесь на сайте?)
|
|
|
|
|
Nov 25 2005, 11:46
|
Гуру
     
Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881

|
Цитата Вот! Вот такая "прикидочная модель" меня и интересует. И очень (!) интересует поведение Вашего триггера именно на этом уровне. Поэтому сообщите, если не трудно Я на этом уровне не моделирую эти процессы  , ибо это не возможно, поэтому и модель Вам такая не поможет. Цитата Я здесь Вас не понял. Как раз внутреннюю схемотехнику я не трогаю. Я в этой ситуации могу только выбрать те микросхемы, которые проектируете именно Вы, зная с какой тщательностью Вы это делаете. А как Вы это делаете - я тут целиком доверяюсь Вам Так Вы ее и не трогайте. Скачайте модель выбранной микросхемы. Спайс-модель. И проанализируйте, подойдет она Вам или нет. Цитата Да нет же. Я просто не лезу в ту область, где все это начинает сказываться Да нет же, лезете. Коротнув вход на выход, или подав на классический RS из двух И-НЕ пару нулей и абсолютно синхронно после этого пары единиц, вы начинаете игру на грани устойчивости схемы, на грани ее таймингов. На очень высоких частотах. Которые могут оказаться за пределами гарантированными изготовителем. А могут и не оказываться. Может загенерить лог. уровнями, может вообще синусом! Совсем не логическим! А может и не загенерить. Вот Вам еще аналогия по части триггеров. Возьмите синхронный триггер, подайте на него перепад клока, и во время где-то после сетапа и до холда, заданных изготовителем, передернете данное. Вам даст ответ на то, что произойдет, модель Вашего уровня? Нет, не даст. Потому как не предусмотрено такое поведение в моделе. Цитата Убейте, но я Вас не понимаю. Как - "в соседнее". Выше я нарисовал результирующий автомат для всей системы. Там есть и свое и соседнее состояние. Но в эту Вашу фразу я не могу врубиться. Если не сложно, то можно это как-то описать в автоматной форме? пожалуйста. Только во первых. Определение триггера, которого я придерживаюсь - это "система с коэффициентом передачи, большим единицы, охваченная положительной ОС". Под это определение попадают все (известные мне) типы триггеров, включая и триггер Шмитта, разновидностью которого мой триггер и является. То есть это RS-триггер, построенный на базе триггера Шмитта. Вот его модель на верилоге. Заметьте, она имеет один вход(двунаправленный) и один выход. Код `celldefine `suppress_faults `enable_portfaults
`timescale 1ns / 1ns `delay_mode_distributed `delay_mode_unit
module rstrig (I,O);
inout I; output O;
not (O,I); buf (weak0, weak1) (I,I);
specify (I *> O)=(0.1); endspecify
endmodule
`endcelldefine `disable_portfaults `nosuppress_faults вот его описание в виде автомата Код исх.сост. вход рез. сост. 0 0 0 0 z 0 0 1 1 1 0 0 1 z 1 1 1 1
|
|
|
|
|
Nov 26 2005, 13:16
|
Участник

Группа: Новичок
Сообщений: 33
Регистрация: 29-07-05
Пользователь №: 7 194

|
Цитата(SM @ Nov 25 2005, 14:46)  Я на этом уровне не моделирую эти процессы  , ибо это не возможно, поэтому и модель Вам такая не поможет. Я не понял?  Как этото невозможно? У меня - возможно. Я создаю/имею модели логических элементов в автоматной форме и их запускаю в работу. Та я и моделирую. Например модель логического элемента И-НЕ это автомат (аналитическая форма автомата Мили): Код INE: 1 = {0(x1x2/-)}, 0 = {1(^x1/-), 1(^x2/-)}. В этом случае RS-триггер это два таких элемента/модели: Код S: s1 = {s0(x1r1/-)}, s0 = {s1(^x1/-), s1(r0/-)}. R: r1 = {r0(x2s1/-)}, r0 = {r1(^x2/-), r1(w0/-)}. У меня есть два варианта анализа таких систем: 1. моделирование 2. построение результирующего автомата. Моделирование - это тестирование программной модели, которая по сути совпадает с математической формой. Результирующий автомат - это применение для этой модели алгебраической операции умножения автоматов. Если я "перемножу" автоматы, то без всякого моделирования получу результирующий автомат: Код SxR: s1r1 = { s0r0(x1x2/-), q1r0(^x1x2/-), q0r1(x1^x2/-)}, s1r0 = { s1r1(^x2/-)}, s0r1 = { s1r1(^x1/-)}, s0r0 = { s1r1(-/-)}. Из этой модели и видны условия генерации: если в состоянии s1r1 (или просто 11) на входах будет x1x2, то модель войдет в цикл, переключаясь между состояниями s1r1 и s0r0 пока x1x2. И это же я должен увидеть при запуске модели из двух автоматов И-НЕ, соединенных перекрестными связями по схеме RS-триггера. Цитата(SM @ Nov 25 2005, 14:46)  Так Вы ее и не трогайте. Скачайте модель выбранной микросхемы. Спайс-модель. И проанализируйте, подойдет она Вам или нет. Я работаю и моделирую на С++. Цитата(SM @ Nov 25 2005, 14:46)  Да нет же, лезете. Коротнув вход на выход, или подав на классический RS из двух И-НЕ пару нулей и абсолютно синхронно после этого пары единиц, вы начинаете игру на грани устойчивости схемы, на грани ее таймингов. На очень высоких частотах. Которые могут оказаться за пределами гарантированными изготовителем. А могут и не оказываться. Может загенерить лог. уровнями, может вообще синусом! Совсем не логическим! А может и не загенерить. С одной строны, Вы правы. А с другой, все определяется величиной дискретного такта модели. Если это будет 1 сек - это однт условия работы схемы, если 1 нс, то другие. Но все это зависит от соглашений моделирования. Цитата(SM @ Nov 25 2005, 14:46)  Вот Вам еще аналогия по части триггеров. Возьмите синхронный триггер, подайте на него перепад клока, и во время где-то после сетапа и до холда, заданных изготовителем, передернете данное. Вам даст ответ на то, что произойдет, модель Вашего уровня? Нет, не даст. Потому как не предусмотрено такое поведение в моделе. Если модель собрана по схеме (например, см. в кн. Гивоне рис.5.2), то даст. Цитата(SM @ Nov 25 2005, 14:46)  пожалуйста. Только во первых. Определение триггера, которого я придерживаюсь - это "система с коэффициентом передачи, большим единицы, охваченная положительной ОС". Под это определение попадают все (известные мне) типы триггеров, включая и триггер Шмитта, разновидностью которого мой триггер и является. То есть это RS-триггер, построенный на базе триггера Шмитта. Я же исхожу из классической схемы RS-триггера для которой и строю модель (см. начало) Цитата(SM @ Nov 25 2005, 14:46)  Вот его модель на верилоге. Заметьте, она имеет один вход(двунаправленный) и один выход. ... Вот тут, прошу прощения, я не вижу RS-триггера. Где два входа и выхода, который имеет RS-триггер, где его два элемента с перекрестными связями и т.п. Цитата(SM @ Nov 25 2005, 14:46)  вот его описание в виде автомата Код исх.сост. вход рез. сост. 0 0 0 0 z 0 0 1 1 1 0 0 1 z 1 1 1 1 Здесь чуть понятнее, но только становится яснее, что это опять же не RS-триггер. Вы тоже привели как бы результирующий автомат, но у реального RS-триггера два входа, два выхода и четыре состояния 00, 01, 10, 11. В трех из них он бывает точно, в четвертом (00) - спорная ситуация. У Вас, как можно видеть, это некий триггер, имеющий два состояния и один вход, имеющий троичное кодирование. Уже по числу состояний это не классический RS-триггер. Ваша модель не показывает запрещенное состояние выходов, которое имеется у реального триггера при переключении из одного устойчивого состояния в другое. Наверное, нужно вернуться к истокам и договорится какую же схему мы моделируем. Я веду речь о классической схеме RS-триггера и ее модели. У Вас - другое.
|
|
|
|
|
Nov 26 2005, 20:46
|
Гуру
     
Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881

|
Цитата С одной строны, Вы правы. А с другой, все определяется величиной дискретного такта модели. Если это будет 1 сек - это однт условия работы схемы, если 1 нс, то другие. Но все это зависит от соглашений моделирования. Это так в том случае, если Вас не интересует то, что произойдет с триггером, когда он, в результате Ваших махинаций с ОС, или с чем либо другим, перестанет подчиняться законам дискретной логики. Тогда, сколько не уменьшай "величину дискретного такта", работа модели перестанет соответствовать работе реальной схемы. Что и происходит с реальными триггерами, когда Вы их ставите в условия с закороченными входами на их же выходы. Или четко синхронно снимая уровень "00" с входов триггера на 2И-НЕ. В этих условиях выходное состояние триггера определяется не логическими/дискретными процессами, а, увы, линейными и непрерывными. Вы абстрагируетесь от действительности, не желая расширить Ваши модели до той степени, что бы при помощи них можно было смоделировать поведение реального физически реализуемого триггера (лог. элемента и т.д.). Кстати, эти модели тоже вполне и без проблем описываются математически. Но только математика там посложнее будет. Я, конечно, согласен, что все зависит от "соглашений моделирования". Если мы принимаем за истину гипотезу о том, что элементы имеют только уровни "1" и "0" и бесконечную скорость нарастания и спада фронтов, то да, можно моделировать Вашими методами, НО!!!! Тогда потом собирайте схему из именно таких элементов, если хотите получить ее работу в том виде, как показало моделирование, если вы ее загоняете в критические условия. Цитата Вот тут, прошу прощения, я не вижу RS-триггера. Где два входа и выхода, который имеет RS-триггер, где его два элемента с перекрестными связями и т.п. ......... Здесь чуть понятнее, но только становится яснее, что это опять же не RS-триггер. Вы тоже привели как бы результирующий автомат, но у реального RS-триггера два входа, два выхода и четыре состояния 00, 01, 10, 11. В корне не согласен. По определению, триггер это устройство с двумя (минимум с двумя, но общий случай трогать не будем) устойчивыми состояниями (высказанное в предыдущем моем посте определение как система, охваченная ПОС, это то-же самое, можно доказать, что такая система с ПОС имеет устойчивые состояния). RS-триггер, это триггер, который реагирует на внешнее воздействие "сброс" и внешнее воздействие "установка". Он не обязан иметь более одного выхода. Точнее он должен иметь как минимум один выход, ибо все остальные выходы это результат операций над текущим состоянием триггера. И точно так же не обязан иметь двух входов (одного, десяти и т.д.). Он должен просто уметь воспринимать внешние воздействия, не суть важно каким образом в него попавшие. Мой триггер имеет два устойчивых состояния и принимает внешние воздействия "сброс" и "установка". Значит он является RS-триггером. Извините, но все множество RS-триггеров не ограничивается схемой на двух элементах И-НЕ. Я Вам могу еще подкинуть схему на биполярных транзисторах, если хотите. Могу на тиристоре и транзисторе. Причем в двух вариантах. Кстати, эти схемы (с тиристором) будут иметь два входа, сброс и установку, и не будут иметь перекрестных связей. Цитата Если модель собрана по схеме (например, см. в кн. Гивоне рис.5.2), то даст. У меня этой книги нет. И я не вижу смысла в потере времени на моделирование линейных процессов средствами дискретной математики. Но я Вам скажу - если взять реальный КМОП-триггер, и подать ему тот сигнал, который я сказал, то результатом (реальным) будет либо достаточно продолжительная осцилляция вокруг пол-питания амплитудой меньше, чем лог. уровни, либо просто, продолжительное находжение выхода в пол-питании. Продолжительная в данном контексте означает большая, чем в два раза, чем один период максимально допустимой (производителем) частоты для данного триггера. Это называется метастабильное состояние. Ваша модель его покажет? Цитата Из этой модели и видны условия генерации: если в состоянии s1r1 (или просто 11) на входах будет x1x2, то модель войдет в цикл, переключаясь между состояниями s1r1 и s0r0 пока x1x2. И это же я должен увидеть при запуске модели из двух автоматов И-НЕ, соединенных перекрестными связями по схеме RS-триггера. Так, если не верите мне, а уверены в достаточности Вашей модели, спаяйте триггер на этих элементах, введите его в такие условия и посмотрите результат. И потом тут скажите, совпадает ли он с результатом моделирования. Я не пойму все таки Вашу цель... Построить при помощи средств дискретной математики обобщенную модель физически реализуемого триггера? Или наоборот, исследовать поведение идеального, физически не реализуемого триггера? Если второе, то я не вижу смысла в продолжении нашего разговора.
|
|
|
|
|
Nov 26 2005, 20:47
|
Гуру
     
Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881

|
Цитата С одной строны, Вы правы. А с другой, все определяется величиной дискретного такта модели. Если это будет 1 сек - это однт условия работы схемы, если 1 нс, то другие. Но все это зависит от соглашений моделирования. Это так в том случае, если Вас не интересует то, что произойдет с триггером, когда он, в результате Ваших махинаций с ОС, или с чем либо другим, перестанет подчиняться законам дискретной логики. Тогда, сколько не уменьшай "величину дискретного такта", работа модели перестанет соответствовать работе реальной схемы. Что и происходит с реальными триггерами, когда Вы их ставите в условия с закороченными входами на их же выходы. Или четко синхронно снимая уровень "00" с входов триггера на 2И-НЕ. В этих условиях выходное состояние триггера определяется не логическими/дискретными процессами, а, увы, линейными и непрерывными. Вы абстрагируетесь от действительности, не желая расширить Ваши модели до той степени, что бы при помощи них можно было смоделировать поведение реального физически реализуемого триггера (лог. элемента и т.д.). Кстати, эти модели тоже вполне и без проблем описываются математически. Но только математика там посложнее будет. Я, конечно, согласен, что все зависит от "соглашений моделирования". Если мы принимаем за истину гипотезу о том, что элементы имеют только уровни "1" и "0" и бесконечную скорость нарастания и спада фронтов, то да, можно моделировать Вашими методами, НО!!!! Тогда потом собирайте схему из именно таких элементов, если хотите получить ее работу в том виде, как показало моделирование, если вы ее загоняете в критические условия. Цитата Вот тут, прошу прощения, я не вижу RS-триггера. Где два входа и выхода, который имеет RS-триггер, где его два элемента с перекрестными связями и т.п. ......... Здесь чуть понятнее, но только становится яснее, что это опять же не RS-триггер. Вы тоже привели как бы результирующий автомат, но у реального RS-триггера два входа, два выхода и четыре состояния 00, 01, 10, 11. В корне не согласен. По определению, триггер это устройство с двумя (минимум с двумя, но общий случай трогать не будем) устойчивыми состояниями (высказанное в предыдущем моем посте определение как система, охваченная ПОС, это то-же самое, можно доказать, что такая система с ПОС имеет устойчивые состояния). RS-триггер, это триггер, который реагирует на внешнее воздействие "сброс" и внешнее воздействие "установка". Он не обязан иметь более одного выхода. Точнее он должен иметь как минимум один выход, ибо все остальные выходы это результат операций над текущим состоянием триггера. И точно так же не обязан иметь двух входов (одного, десяти и т.д.). Он должен просто уметь воспринимать внешние воздействия, не суть важно каким образом в него попавшие. Мой триггер имеет два устойчивых состояния и принимает внешние воздействия "сброс" и "установка". Значит он является RS-триггером. Извините, но все множество RS-триггеров не ограничивается схемой на двух элементах И-НЕ. Я Вам могу еще подкинуть схему на биполярных транзисторах, если хотите. Могу на тиристоре и транзисторе. Причем в двух вариантах. Кстати, эти схемы (с тиристором) будут иметь два входа, сброс и установку, и не будут иметь перекрестных связей. Цитата Если модель собрана по схеме (например, см. в кн. Гивоне рис.5.2), то даст. У меня этой книги нет. И я не вижу смысла в потере времени на моделирование линейных процессов средствами дискретной математики. Но я Вам скажу - если взять реальный КМОП-триггер, и подать ему тот сигнал, который я сказал, то результатом (реальным) будет либо достаточно продолжительная осцилляция вокруг пол-питания амплитудой меньше, чем лог. уровни, либо просто, продолжительное находжение выхода в пол-питании. Продолжительная в данном контексте означает большая, чем в два раза, чем один период максимально допустимой (производителем) частоты для данного триггера. Это называется метастабильное состояние. Ваша модель его покажет? Цитата Из этой модели и видны условия генерации: если в состоянии s1r1 (или просто 11) на входах будет x1x2, то модель войдет в цикл, переключаясь между состояниями s1r1 и s0r0 пока x1x2. И это же я должен увидеть при запуске модели из двух автоматов И-НЕ, соединенных перекрестными связями по схеме RS-триггера. Так, если не верите мне, а уверены в достаточности Вашей модели, спаяйте триггер на этих элементах, введите его в такие условия и посмотрите результат. И потом тут скажите, совпадает ли он с результатом моделирования. Я не пойму все таки Вашу цель... Построить при помощи средств дискретной математики обобщенную модель физически реализуемого триггера? Или наоборот, исследовать поведение идеального, физически не реализуемого триггера? Если второе, то я не вижу смысла в продолжении нашего разговора.
|
|
|
|
|
Nov 27 2005, 14:46
|
Участник

Группа: Новичок
Сообщений: 33
Регистрация: 29-07-05
Пользователь №: 7 194

|
Цитата(SM @ Nov 26 2005, 23:47)  Так, если не верите мне, а уверены в достаточности Вашей модели, спаяйте триггер на этих элементах, введите его в такие условия и посмотрите результат. И потом тут скажите, совпадает ли он с результатом моделирования. Я паял и знаю, что эта модель точно недостаточна  И было время, когда я не знал причины ее недостаточности: по теории в рамках этой модели даже при наличии задержек должна была быть генерация, но реально ее не было. Казалось, что причина в высокой частоте на которой должны протекать такие процессы и тогда модель должна быть другой. Я попытался уйти от высокой частоты и аналоговых процесов, создав триггер на реле. Но и там не пошло. Т.е. не возникла генерация, когда частота переключения измерялась секундами  Задержки создавались RC-цепочками. И тут всплыли инерционные задержки и утверждение, что реальные задержки все инерционного типа, а не транспортного. И тут я увидел, что в моей модели задержки были именно транспортные, т.е. изменение фронта обязательно проходили на выход. Тогда я создал дискретную модель инерционной задержки. И все стало много ближе к реальности. Мой триггер перестал генерировать. И вот эту модель и инерционными задержками я уже считаю гораздо ближе к реальности, чем та, которая была (которая была приведена здесь). Остается один невыясненный момент: по теории, если инерционные задержки равны, то модель должна устойчиво генерировать. Вот это и хотелось бы проверить. Ведь в реальной жизни создать абсолютно одинаковые задержки - это нереально. В модели можно. Причем с одной стороны эти привнесенные задержки могут быть очень большими, чтобы не работать на высоких частотах, где модель будет другая (хоть и дискретная), с другой, они могут быть абсолютно равными. Цитата(SM @ Nov 26 2005, 23:47)  Я не пойму все таки Вашу цель... Построить при помощи средств дискретной математики обобщенную модель физически реализуемого триггера? Это одна из целей. Модель с имеющейся инерционной задержкой уже значительно повыжает рабочую частоту дискретной модели. Дальше эту модель задержки можно только совершенствовать, приближая дискретную модель все ближе по качествам к реальной. Но это уже как бы вопрос создания адекватной модели. Более важная цель - создание общей дискретной модели параллельных систем. Ее я и создаю и надеюсь даже, что создал  . А вот моделирование в ее рамках реальных электронных схем это ее качественная проверка. Наш с Вами разговор дает мне еще аргументы в ее пользу. И даже несмотря на то, что Вы не согласны с самой постановкой общности дискретной модели. Но это уже отдельный разговор. Он сводится к разногласиям между "непрерывной кибернетикой" Виннера и "дискретной кибернетикой" Неймана. Но об этом нужно разговаривать уже в другой теме  Но если то, о чем Вы написали в отношении реального триггера, что он входит в режим осцилляции вокруг пол-питания, можно реально наблюдать, то это еще один важный аргумент в пользу моей дискретной модели. Цитата(SM @ Nov 26 2005, 23:47)  Или наоборот, исследовать поведение идеального, физически не реализуемого триггера? Если второе, то я не вижу смысла в продолжении нашего разговора. Нет, конечно. С идеальным триггером все ясно. Он генерировал и будет генерировать так как я захочу  Поскольку здесь я тот Бог, который его создает  Но, создавая параллельные системы, мы имеем два варианты: создаем идеальные, которые возникают в нашей голове, и моделирует реальные, чтобы познать их работу. Но очень важно, чтобы и в том и в другом случае в основе была одна модель и одна теория. В свете только сказанного я проектирую идеальные системы, Вы - реальные. Мне хочется, чтобы мои идеальные работали в полном соответствии с законами природы реальных систем. И если "триггер осциллирует" реально, то я еще больше спокоен за свои результаты  Замечу, что другие модели (имеются в виду дискретные параллельные модели) даже близко не покажут и намек на осцилляцию. Не говоря уж о том, чтобы ее доказать формально. Ну вот, может быть и все  Я не собираюсь далеко лезть в физику. Тут мне бы только хотелось бы чтобы Вы развеяли мои сомнения в возможной осцилляции. Ну а Вы, насколько я вижу, не доверяете дискретным моделям. Тут я тоже не собираюсь Вас сильно переубеждать. На Вашем уровни они, действительно, должны быть много сложнее, чем я привел. Уже только введение простейшей инерционной задержки делает модель достаточно сложной. Но это уже больше вопрос создания конкретной модели, чем вопрос формулирования самой модели. Для меня в даном случае важно, что та дискретная модель, которую я использую, качественно лучше других, которые я знаю. Вы меня в этой вере пока только укрепили  Спасибо! Надеюсь, что все сказанное мной не покажется так уж  Но даже если и покажется кому-то, то это ... Вам же от меня  за терпение
|
|
|
|
|
Nov 27 2005, 21:51
|
Гуру
     
Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881

|
Цитата Остается один невыясненный момент: по теории, если инерционные задержки равны, то модель должна устойчиво генерировать. Вот это и хотелось бы проверить. Ведь в реальной жизни создать абсолютно одинаковые задержки - это нереально. В модели можно. Причем с одной стороны эти привнесенные задержки могут быть очень большими, чтобы не работать на высоких частотах, где модель будет другая (хоть и дискретная), с другой, они могут быть абсолютно равными. Вот это - совсем другое дело. И другой подход. Моё предложение по моделированию таких систем будет чуть ниже. Цитата Это одна из целей. Модель с имеющейся инерционной задержкой уже значительно повыжает рабочую частоту дискретной модели. Дальше эту модель задержки можно только совершенствовать, приближая дискретную модель все ближе по качествам к реальной. Но это уже как бы вопрос создания адекватной модели. Более важная цель - создание общей дискретной модели параллельных систем. Ее я и создаю и надеюсь даже, что создал . А вот моделирование в ее рамках реальных электронных схем это ее качественная проверка. Вот теперь давайте опять возьмем Ваш RS-триггер. На элементах И-НЕ. И будем его упрощать. НО! Не касаясь дискретной математики, не касаясь теории автоматов... И, одновременно, не трогая уровня вентилей..... Это возможно? Да! Возможно. Во первых, ликвидируем вентили "И". Заменим их на вентили "монтажное И". Только для упрощения модели. И перейдем к немного другой логике. Тоже двоичной. Которая имеет два состояния - ноль и обрыв. Обрыв (котрый для моделирования считаем поттяжкой резистором к +) принимаем за еденицу. Ноль за ноль. После этого определим, что такое инвертор.... Самое страшное в этой всей истории... А инвертор - это есть такая страшная штука, как усилитель. В идеале, с коэфиициентом усиления, приближающимся к бесконечности со знаком минус. И с выходом, ограниченным напряжением питания.... И опишем его в свете преобразования Лапласа... Для начала, не трогая частотных характеритстик... Получим такую передаточную ф-йию H(s)=-Ks. Соединив такой инвертор выходом на вход, получим уравнение, для которого есть одно решение - s=0. То есть не генерируем, а впадаем в усточивое состояние с входом и выходом в нуле (примечание - за нулю берем натуральный ноль, за "логический" берем минус сколькол-то вольт, за лог. единицу берем плюс столько же, опять для упрощения). Так себя реально и поведут большинство лог. элементов, встав в такой "аналитический" ноль, равный пол-питанию. Теперь усложним задачу... Представим себе, что этот инвертор описывается полноценным уравнением первого порядка. То есть H(s) = (a+b*s)/(1+c*s). Где a,b,и с есть комплексные величины... соединяем выход с входом, решаем соответствующее дифференциально-интегральное уравнение, и получам, что на выходе... А вдруг этот инвертор описывается передаточной ф-цией 2-го порядка? Ой... Вообще кошмар... Ну и окончательно - переход в дискретизированную модель. Просто переходим с s-плоскости на z-плоскость. Удобнным способом. Например методом инвариантной импульсной характеристики. Которая многое упустит, но при Вашем подходе, а именно минимизации шага квантования во времени, будет скорее всего наиболее оптимальной.... И... Моделируем что будет на выходе для дискретной выборки сигнала номер N+1 зная остальные [0..N]. В общем - подводя итог, могу сказать, что это на данный момент времени классический подход. Либо решение диф-инт. уравнений итерационными методами в лоб, либо z-преобразование численными методами с переходом к dt, стремящимся к нулю. Предложите что-то более прогрессивное, и, возможно, это будет переворотом в моделировании систем. Причем серьезным переворотом.
|
|
|
|
|
Nov 28 2005, 10:53
|
Частый гость
 
Группа: Свой
Сообщений: 130
Регистрация: 25-03-05
Из: Edinburgh,UK
Пользователь №: 3 683

|
Цитата(SM @ Nov 27 2005, 21:51)  И опишем его в свете преобразования Лапласа... Для начала, не трогая частотных характеритстик... Получим такую передаточную ф-йию H(s)=-Ks. SM Можно уточнить? Каким образом получили уравнение передаточную функцию дифференциатора для инвертора? исходя из Цитата(SM @ Nov 27 2005, 21:51)  ...инвертор - это есть такая страшная штука, как усилитель. В идеале, с коэфиициентом усиления, приближающимся к бесконечности со знаком минус.... Следовательно никакой частотной зависимости, в идеале, наблюдаться не будит.
|
|
|
|
|
Nov 28 2005, 11:01
|
Гуру
     
Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881

|
Цитата(monya @ Nov 28 2005, 13:53)  SM Можно уточнить? Каким образом получили уравнение передаточную функцию дифференциатора для инвертора? При помощи злоупотребления алкогольными напитками  Обшибся. Цитата(SM @ Nov 27 2005, 21:51)  ...инвертор - это есть такая страшная штука, как усилитель. В идеале, с коэфиициентом усиления, приближающимся к бесконечности со знаком минус....
Следовательно никакой частотной зависимости, в идеале, наблюдаться не будит. В идеале, да. Но речь идет о реальных схемах.
|
|
|
|
|
Nov 28 2005, 12:09
|
Участник

Группа: Новичок
Сообщений: 33
Регистрация: 29-07-05
Пользователь №: 7 194

|
Цитата(SM @ Nov 28 2005, 00:51)  Вот теперь давайте опять возьмем Ваш RS-триггер. На элементах И-НЕ. И будем его упрощать. НО! Не касаясь дискретной математики, не касаясь теории автоматов... И, одновременно, не трогая уровня вентилей..... Это возможно? Да! Возможно. Возможно. Но только не для меня. У меня автьоматы тот "скелет" на который я наращиваю все. Но об этом ... в процессе Цитата(SM @ Nov 28 2005, 00:51)  Во первых, ликвидируем вентили "И". Заменим их на вентили "монтажное И". Только для упрощения модели. И перейдем к немного другой логике. Тоже двоичной. Которая имеет два состояния - ноль и обрыв. Обрыв (котрый для моделирования считаем поттяжкой резистором к +) принимаем за еденицу. Ноль за ноль. Вам нравятся "обрывы". Но, в конце концов, что обозначать единицей, а что ноликом... лишь бы не появился третий (лишний  ) Цитата(SM @ Nov 28 2005, 00:51)  После этого определим, что такое инвертор.... Самое страшное в этой всей истории... А инвертор - это есть такая страшная штука, как усилитель. В идеале, с коэфиициентом усиления, приближающимся к бесконечности со знаком минус. И с выходом, ограниченным напряжением питания.... И опишем его в свете преобразования Лапласа... Для начала, не трогая частотных характеритстик... Получим такую передаточную ф-йию H(s)=-Ks. Соединив такой инвертор выходом на вход, получим уравнение, для которого есть одно решение - s=0. То есть не генерируем, а впадаем в усточивое состояние с входом и выходом в нуле (примечание - за нулю берем натуральный ноль, за "логический" берем минус сколькол-то вольт, за лог. единицу берем плюс столько же, опять для упрощения). Так себя реально и поведут большинство лог. элементов, встав в такой "аналитический" ноль, равный пол-питанию. Возможно. НЕ буду спорить Тем более, что убедиться в этом реально у меня нет сейчас возможности  Но как бы я поступил. В данном случае для меня элемент реализует некую функцию y = f(x), где f - любая функция. В прстейшем случае она переключательная, т.е. при x=0 y=1, при x=1, y=0. Мой автомат: Код Инв: s1 = {s0(x1/y1)}, s0 = {s1(^x1/y2)}, где x1() { return x;} y1() { y = 0; } y2() { y = 1; } [code] Запись для кого-то весьма непривычная, но отражает факт "немгновенности" мира, т.е. выход изменится строго после изменения входа. Это будет и в случае, если написать и, например, так: [code] int Инв(x) { if (x) return 0; else return 1; } Это проще, но для меня почти неприемлемо Почему? Автомат я могу замкнуть выход на вход и он будет генерировать (мы это уже разбирали), а обычную функцию - нет. Кстати, покажу, как замкну: Код Инв: s1 = {s0(x1/y1)}, s0 = {s1(^x1/y2)}, где x1() { return y;} y1() { y = 0; } y2() { y = 1; } Нашли отличие?  Если меня не устроит эта переключательная модель, то я могу, например, изменить лишь действия. Если, например, мне нужно реализовать sin/cos, то это будет так: Код Инв: s1 = {s0(x1/y1)}, s0 = {s1(^x1/y2)}, где x1() { return x;} y1() { y = sin(...); } y2() { y = cos(...); } Нашли отличия?  Т.е. с таким же успехом я могу подставить все что угодно. В том числе и то, о чем Вы говорите... Цитата(SM @ Nov 28 2005, 00:51)  Теперь усложним задачу... Представим себе, что этот инвертор описывается полноценным уравнением первого порядка. То есть H(s) = (a+b*s)/(1+c*s). Где a,b,и с есть комплексные величины... соединяем выход с входом, решаем соответствующее дифференциально-интегральное уравнение, и получам, что на выходе... А вдруг этот инвертор описывается передаточной ф-цией 2-го порядка? Ой... Вообще кошмар... Но в автомат таким способом можно подставить любой кошмар  Цитата(SM @ Nov 28 2005, 00:51)  Ну и окончательно - переход в дискретизированную модель. Просто переходим с s-плоскости на z-плоскость. Удобнным способом. Например методом инвариантной импульсной характеристики. Которая многое упустит, но при Вашем подходе, а именно минимизации шага квантования во времени, будет скорее всего наиболее оптимальной.... И... Моделируем что будет на выходе для дискретной выборки сигнала номер N+1 зная остальные [0..N]. Это ближе для меня, но я бы поступил, как ... посткпил выше. Цитата(SM @ Nov 28 2005, 00:51)  В общем - подводя итог, могу сказать, что это на данный момент времени классический подход. Либо решение диф-инт. уравнений итерационными методами в лоб, либо z-преобразование численными методами с переходом к dt, стремящимся к нулю. Предложите что-то более прогрессивное, и, возможно, это будет переворотом в моделировании систем. Причем серьезным переворотом. Если применение тех же клеточных автоматов здесь "переворот", то моя модель мощнее их, т.к. не накладывает ограничения на связи между "клетками"/автоматами. Переворот ли это - судить другим. Я же за то, чтобы считать переворотом
|
|
|
|
|
Nov 28 2005, 14:13
|
Гуру
     
Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881

|
Цитата Это проще, но для меня почти неприемлемо Почему? Автомат я могу замкнуть выход на вход и он будет генерировать (мы это уже разбирали), а обычную функцию - нет. Вот! Функцию тоже можно замкнуть вход на выход. Получится уравнение. В данном конкр. случае дифференциально-интегральное. Его решаем (при моделировании на С++ естессно численными методами) - и получаем новую ф-цию, которая и показывает, что на выходе. Я очень давно говорю, что для каждого уровня нужна своя модель. Ну почему никто не моделирует усилители, фильтры, генераторы и т.д. при помощи автоматов? Раз это так удобно? А Вы вогнали элемент "И-НЕ" в линейный режим и мучаетесь симуляцией в виде автомата. P.S. По-Вашему инвертор должен быть описан хотя бы так: Код #define K 1000 // например такой коэфф. передачи float Инв(float x) { float tmp; tmp = x-0.5; tmp *= -K; if (tmp>0.5) tmp=0.5; if (tmp<-0.5) tmp=-0.5; tmp += 0.5 return tmp; } Соединив такой ф-ции выход со входом четко видно, что результат будет устойчивая 0.5. Что подтвердится при корочении реального инвертора.
|
|
|
|
|
Nov 28 2005, 17:26
|
Участник

Группа: Новичок
Сообщений: 33
Регистрация: 29-07-05
Пользователь №: 7 194

|
Цитата(SM @ Nov 28 2005, 17:13)  Вот! Функцию тоже можно замкнуть вход на выход. Это интересный момент. Как замкнуть? Цитата(SM @ Nov 28 2005, 17:13)  Я очень давно говорю, что для каждого уровня нужна своя модель. А кто спорит. На одной частоте, где фронты вертикальны и мгновенны, нет паразитов и т.п. - дискретная модель с двоичным кодированием. Повышаем частоту и модель становится более детальной. Например, появляется тип задержек (до этого была у всех единичная задержка), дальше - усложняем модель инерционной задержки, еще выше скорость - другая модель и т.д. до дискретного времени близкого к нулю  Цитата(SM @ Nov 28 2005, 17:13)  Ну почему никто не моделирует усилители, фильтры, генераторы и т.д. при помощи автоматов? Раз это так удобно? Сам поражаюсь  Но, думаю, все дело в том, что автоматы рассматриваются очень узко. На самом деле автомат - это лишь управление боле мощной - алгоритмической модели, котрая перерабатывает не только единички/нолики и, кроме того, перерабатывает информацию параллельно. Это уже мой "перевернутый взгляд"  Ну и потом... Предположим Вы замкнете даже выход функции со входом (см. свой пример). А как быть с двумя такими функциями, которые работают параллельно, а?  Удобно - понятие относительное. Удобно - когда знаешь и понимаешь ... зачем. Мне удобно. Внешне выглядит - не очень. А мне нравится, т.к. мне удобно  Цитата(SM @ Nov 28 2005, 17:13)  А Вы вогнали элемент "И-НЕ" в линейный режим и мучаетесь симуляцией в виде автомата. Точнее, думаю, в переключательный с единичной задержкой. Если вводим инерционную задержку, то это уже не линейный режим, а имем функцию с внутренними состояниями. Выход в ней зависит от предыстории. В линейной функции y = kx такой зависимости нет. Это автомат с одним состоянием. У нас И-НЕ имеет большое число состояний. Цитата(SM @ Nov 28 2005, 17:13)  P.S. По-Вашему инвертор должен быть описан хотя бы так: Код #define K 1000 // например такой коэфф. передачи float Инв(float x) { ... } Соединив такой ф-ции выход со входом четко видно, что результат будет устойчивая 0.5. Что подтвердится при корочении реального инвертора. Как скажете  Да, пусть такая модель. Но это будет модель для определенного диапазона частоты, а при более высокой или низкой. Еще есть задач иметь универсальную модель. Чтобы она работала и на низкой частоте, там где элемент войдет в режим генерации, если длительность задержки будет много выше максимальной частоты работы вентиля.
|
|
|
|
|
Nov 28 2005, 19:31
|
Гуру
     
Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881

|
Цитата Это интересный момент. Как замкнуть? Как это делается при анализе переходных процессов систем. Если аналитически - то находим передаточную ф-цию системы, описанной этой самой ф-цией (во времени), со 100% обратной связью. Анализируем ее с нулевым внешним воздействием на вход и с нулевыми начальными условиями, и смотрим результат - устойчива ли эта система, если не устойчива то куда и как ее "разнесет", если устойчива - то к чему устремится ее выход со временем. Кстати, какая разница, сколько таких ф-ций работает параллельно. Современные средства такого моделирования позволяют анализировать схемы из миллионов транзисторов. И никто не мешает в этом-же моделировщике (MicroCap, PSPICE, HSPICE, Spectre, ELDO и т.п.) описать свои модели вентильного уровня сразу в виде линейных систем, не разбирая их на транзисторы и т.п. Просто сразу в виде ящика с какой-то передаточной ф-цией. Цитата Точнее, думаю, в переключательный с единичной задержкой. Вот именно, что так думаете. А если соедините в такую схему реальный элемент, увидите что он будет в линейном режиме. На этой способности логических элементов строятся усилители, кварцевые генераторы, где кварц выступает в роли фазосдвигающего элемента, и т.д. Недостаток автоматов для моделирования этих процессов на мой взгляд это требование дискретности времени. Пусть с очень малой величиной дискрета. Которое тут не к месту. Нет тут такого понятия задержки, которое вводите Вы. Оно не корректно. Оно корректно, пока элемент работает в "штатном" режиме, пока он не охвачен ОС. Цитата Как скажете Да, пусть такая модель. Но это будет модель для определенного диапазона частоты, а при более высокой или низкой. Да эта модель была вообще без частотных свойств. Вообще в общем случае надо, конечно, рассматривать (инвертор) как нелинейную систему с отрицательным коэффициентом передачи по постоянному току. Но это - чем дальше, тем сложнее. P.S. Честно говоря мне уже поднадоело трепаться о моделировании процесса моделью, физически не могущей смоделировать этот процесс.
|
|
|
|
|
Nov 28 2005, 20:49
|
Участник

Группа: Новичок
Сообщений: 33
Регистрация: 29-07-05
Пользователь №: 7 194

|
Цитата(SM @ Nov 28 2005, 22:31)  P.S. Честно говоря мне уже поднадоело трепаться о моделировании процесса моделью, физически не могущей смоделировать этот процесс. Собственно и мне тоже - переубеждать Вас в обратном. Да это и не есть моя цель. Не мной доказано, что дискретные процессы могут описывать любые физические процессы (все это в книгах В.М.Глушкова - Синтез цифровых автоматов, Введение в кибернетику). Из полследнего можно сослаться на работы Бандман О.Л. - Клеточно-автоматные модели пространственной динамики. И многая и многая другое. Автоматы делают все. И много болше, чем "численное моделирование на основе решения дифференциальных уравнений" (О.Л.Бандман). Многие проблемы работы цифровых систем можно и нужно решать на вентильном уровне. Т.е. на том, который Вы "проскакиваете", а я за него не заглядываю. Для меня важно, что уже на этом уровне я просчитываю и возможные запрещенные состояния и вероятностную осцилляцию, которые Вы, похоже, тоже получаете, но применяя гораздо более сложные модели. Собственно есть граница, до котрой работаю я, и после которой Вы. Каждый стоит на своем. Ну давайте на своих позициях и останемся. Та информация, за котрую я уже Вас благодарил, подтвердила возможности дискретной модели решать достаточно сложные проблемы без применения сложной математики. Если у меня замкнуть просто (см. пример моего автомата), а у Вас (цитирую) - "Как это делается при анализе переходных процессов систем. Если аналитически - то находим передаточную ф-цию системы, описанной этой самой ф-цией (во времени), со 100% обратной связью. Анализируем ее с нулевым внешним воздействием на вход и с нулевыми начальными условиями, и смотрим результат - устойчива ли эта система, если не устойчива то куда и как ее "разнесет", если устойчива - то к чему устремится ее выход со временем." Нет уж - я лучше буду автоматы замыкать, чем функции
|
|
|
|
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|