реклама на сайте
подробности

 
 
2 страниц V  < 1 2  
Reply to this topicStart new topic
> Подстроиться под входную частоту
zombi
сообщение Oct 4 2010, 17:35
Сообщение #16


Гуру
******

Группа: Свой
Сообщений: 2 076
Регистрация: 10-09-08
Пользователь №: 40 106



Цитата(Intekus @ Oct 4 2010, 20:31) *
А почему "нет"? Присоединяюсь к советующим использовать clock enable.

И всетаки не понимаю как clock enable использовать???
Go to the top of the page
 
+Quote Post
Maverick
сообщение Oct 4 2010, 18:02
Сообщение #17


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(zombi @ Oct 4 2010, 20:35) *
И всетаки не понимаю как clock enable использовать???

управлять работой триггером/регистром, не пробовали? smile.gif

Возьмите ниже приведенное описание (выбирать описание Вам на VHDL или на Verilog - что больше нравиться smile.gif ) и промоделируйте DFF with Positive-Edge Clock and Clock Enable

Цитата
Описание выводов

D -- Data Input
C -- Positive-Edge Clock
CE -- Clock Enable (active High)
Q -- Data Output


Код
VHDL Code

Following is the equivalent VHDL code for the DFF with a positive-edge clock and clock Enable.

library ieee;
use ieee.std_logic_1164.all;


entity flop is
  port(C, D, CE  : in  std_logic;
      Q          : out std_logic);
end flop;
architecture archi of flop is
  begin
    process (C)
      begin
        if (C'event and C='1') then
          if (CE='1') then
            Q = D;
          end if;
        end if;
    end process;
end archi;

Verilog Code

Following is the equivalent Verilog code for the DFF with a positive-edge clock and clock enable.

module flop (C, D, CE, Q);
  input C, D, CE;
  output Q;
  reg Q;


  always @(posedge C)
    begin
      if (CE)
        Q = D;
    end
endmodule


И все поймете smile.gif


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Shtirlits
сообщение Oct 4 2010, 18:20
Сообщение #18


Знающий
****

Группа: Свой
Сообщений: 845
Регистрация: 18-10-04
Из: Pereslavl-Zalessky, Russian Federation
Пользователь №: 905



страница 6 и 7
http://www.altera.com/literature/ds/m3000a.pdf
Go to the top of the page
 
+Quote Post
zombi
сообщение Oct 4 2010, 18:53
Сообщение #19


Гуру
******

Группа: Свой
Сообщений: 2 076
Регистрация: 10-09-08
Пользователь №: 40 106



Цитата(Maverick @ Oct 4 2010, 21:02) *
Возьмите ниже приведенное описание (выбирать описание Вам на VHDL или на Verilog - что больше нравиться smile.gif ) и промоделируйте DFF with Positive-Edge Clock and Clock Enable


Не, ну Вы даете! что такое clock enable я знаю biggrin.gif

Как DFF with Positive-Edge Clock and Clock Enable использовать для определения фазы входной частоты???
Go to the top of the page
 
+Quote Post
sazh
сообщение Oct 4 2010, 19:10
Сообщение #20


Гуру
******

Группа: Свой
Сообщений: 2 435
Регистрация: 6-10-04
Из: Петербург
Пользователь №: 804



Цитата(zombi @ Oct 4 2010, 21:53) *
Как DFF with Positive-Edge Clock and Clock Enable использовать для определения фазы входной частоты???


Просто Вам пытаются сказать, что одного клока 100 Мгц достаточно для Ваших нужд.
Иначе Вы бы не выбрали такое семейство для своего проекта.
А с фазой можно просто поступить.
По включению питания все триггера семейства устанавливаются в ноль.
Значит можно организовать по включению питания одноразовую работу счетчика на частоте 25 Мгц, который сформирует синхронный rst
на триггер tff, на "с" вход которого подать 200Мгц. на выходе 100, в фазе с 25. ( но фронт со сдвигом)
Go to the top of the page
 
+Quote Post
Shtirlits
сообщение Oct 4 2010, 19:12
Сообщение #21


Знающий
****

Группа: Свой
Сообщений: 845
Регистрация: 18-10-04
Из: Pereslavl-Zalessky, Russian Federation
Пользователь №: 905



Был совет не использовать внешний 25MHz-овый клок вовсе!
Питать всю схему 100MHz и разрешать кажый четвертый такт.

Ваше изумление вероятно вызвано скрытым от нас знанием схемы, в которой, возможно, 25MHz тактирует какую-то периферию.

Честно говоря, я не нашел в документации на PLL никакого упоминания о соотношении фаз, на которые можно рассчитывать, только сказано, что оно может меняться от включения к включению.

Может, пусть cpld делит клок на 4 и выдает его наружу, а не напрямую с кварца? И PLL будет счастливее...
Go to the top of the page
 
+Quote Post
zombi
сообщение Oct 4 2010, 19:40
Сообщение #22


Гуру
******

Группа: Свой
Сообщений: 2 076
Регистрация: 10-09-08
Пользователь №: 40 106



Цитата(sazh @ Oct 4 2010, 22:10) *
Значит можно организовать по включению питания одноразовую работу счетчика на частоте 25 Мгц, который сформирует синхронный rst
на триггер tff, на "с" вход которого подать 200Мгц. на выходе 100, в фазе с 25. ( но фронт со сдвигом)

Хорошо бы еслиб альтера тянула 200.


Цитата(Shtirlits @ Oct 4 2010, 22:12) *
Честно говоря, я не нашел в документации на PLL никакого упоминания о соотношении фаз, на которые можно рассчитывать, только сказано, что оно может меняться от включения к включению.

Вы правы. Я осцилографом смотрел. Вроде фазы входной и умноженной частоты либо совпадают либо инверсны.
А может осцилом всего и не увидеть.

В любом случае ясно что проблему в лоб не решить.
Go to the top of the page
 
+Quote Post
Shtirlits
сообщение Oct 4 2010, 20:33
Сообщение #23


Знающий
****

Группа: Свой
Сообщений: 845
Регистрация: 18-10-04
Из: Pereslavl-Zalessky, Russian Federation
Пользователь №: 905



частота чего-то полезного 126.6MHz

Так скажите, выход REF к чему-то кроме CPLD подключен?
Go to the top of the page
 
+Quote Post
vadimuzzz
сообщение Oct 4 2010, 22:47
Сообщение #24


Гуру
******

Группа: Свой
Сообщений: 2 291
Регистрация: 21-07-05
Пользователь №: 6 988



Цитата(zombi @ Oct 5 2010, 02:40) *
Вы правы. Я осцилографом смотрел. Вроде фазы входной и умноженной частоты либо совпадают либо инверсны.
А может осцилом всего и не увидеть.

меня терзают смутные сомнения... а каким сигналом вы синхронизировались?
Go to the top of the page
 
+Quote Post
zombi
сообщение Oct 4 2010, 23:02
Сообщение #25


Гуру
******

Группа: Свой
Сообщений: 2 076
Регистрация: 10-09-08
Пользователь №: 40 106



Все оказалось банально просто когда я вместо ICS512 подключил ICS570 (у него фаза стабильна и совпадает с фазой входной чачтоты).
ISC570 вообще отказывался работать (наблюдался срыв т.е. на выходе вместо 100MHz было х.з. что).
Начал копать почему.
И оказалось что на шине данных (плис/озу) был конфликт (небольшой 3-4 нс) и этого хватало чтобы просадка по питанию сбивала его. Устранил.
Затем еще обнаружил что сигналом стробирую данные во внутреннем регистре плис и этот же сигнал вывожу для стробтрования во внешнем регистре (забыл про задержку).
Короче все работает и подстраиваться под входную частоту нет абсолютно никакой необходимости.
Как обычно проблема была в мозгах а не в частотах. laughing.gif
РАБОТАЕТ ГАДЮКА yeah.gif yeah.gif yeah.gif

Go to the top of the page
 
+Quote Post

2 страниц V  < 1 2
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 19th July 2025 - 20:06
Рейтинг@Mail.ru


Страница сгенерированна за 0.01438 секунд с 7
ELECTRONIX ©2004-2016