реклама на сайте
подробности

 
 
4 страниц V  < 1 2 3 4 >  
Reply to this topicStart new topic
> Xilinx ISE 13.1, вышла новая версия
Victor®
сообщение Mar 5 2011, 07:36
Сообщение #16


Lazy
******

Группа: Свой
Сообщений: 2 070
Регистрация: 21-06-04
Из: Ukraine
Пользователь №: 76



Цитата(vanduongbk @ Mar 5 2011, 06:40) *
can try license at here


да как-бы и от 12.3 "+1"


--------------------
"Everything should be made as simple as possible, but not simpler." - Albert Einstein
Go to the top of the page
 
+Quote Post
Koluchiy
сообщение Mar 5 2011, 07:46
Сообщение #17


Знающий
****

Группа: Свой
Сообщений: 972
Регистрация: 12-04-09
Из: Москва
Пользователь №: 47 543



Граждане, умеет ли в 13.1 Map занимать несколько ядер?
Не в том смысле, что "настройка такая есть", а реально работает всё равно только одно ядро.
А так, чтобы реально несколько ядер считали с заметным прогрессом по времени.
Go to the top of the page
 
+Quote Post
toshas
сообщение Mar 5 2011, 07:55
Сообщение #18


Местный
***

Группа: Свой
Сообщений: 372
Регистрация: 14-02-06
Пользователь №: 14 339



на 12.2 замечал, что при выставленной галке многоядерности, если ошибка в сборке проекта происходит на map или par,
отчета с ошибкой иногда вообще нет, пишет ошибка и все, приходится переключать снова на 1 ядро.
Go to the top of the page
 
+Quote Post
faa
сообщение Mar 10 2011, 16:22
Сообщение #19


Знающий
****

Группа: Свой
Сообщений: 726
Регистрация: 14-09-06
Из: Москва
Пользователь №: 20 394



Цитата(Koluchiy @ Mar 5 2011, 10:46) *
Граждане, умеет ли в 13.1 Map занимать несколько ядер?

MAP падает под линухом 64 бит. Молча. Разбираться почему, что и как пока не стал.
А вот PAR работает на 4 ядрах.
Go to the top of the page
 
+Quote Post
vugluskr
сообщение Mar 12 2011, 16:54
Сообщение #20


High speed digital design
***

Группа: Свой
Сообщений: 413
Регистрация: 6-10-09
Пользователь №: 52 786



Windows
При просмотре репортов Design summary при нажатии Сollate Consecutive невозможно равернуть вкладки - очень раздражает


--------------------
Go to the top of the page
 
+Quote Post
faa
сообщение Mar 15 2011, 06:56
Сообщение #21


Знающий
****

Группа: Свой
Сообщений: 726
Регистрация: 14-09-06
Из: Москва
Пользователь №: 20 394



Наткнулся на несоответствие в библиотеке UNIMACRO и template ISE 13.1.
В макросе ADDMACC_MACRO
в template PREADDER1 и PREADDER2
Код
-- ADDMACC_MACRO : In order to incorporate this function into the design,
--     VHDL      : the following instance declaration needs to be placed
--   instance    : in the architecture body of the design code.  The
--  declaration  : (ADDMACC_MACRO_inst) and/or the port declarations
--     code      : after the "=>" assignment maybe changed to properly
--               : reference and connect this function to the design.
--               : All inputs and outputs must be connected.

--    Library    : In addition to adding the instance declaration, a use
--  declaration  : statement for the UNISIM.vcomponents library needs to be
--      for      : added before the entity declaration.  This library
--    Xilinx     : contains the component declarations for all Xilinx
--   primitives  : primitives and points to the models that will be used
--               : for simulation.

--  Copy the following four statements and paste them before the
--  Entity declaration, unless they already exist.

Library UNISIM;
use UNISIM.vcomponents.all;

Library UNIMACRO;
use UNIMACRO.vcomponents.all;
--  <-----Cut code below this line and paste into the architecture body---->

   -- ADDMACC_MACRO: Add and Multiple Accumulate Function implemented in a DSP48E
   --                Virtex-6
   -- Xilinx HDL Language Template, version 13.1

   ADDMACC_MACRO_inst : ADDMACC_MACRO
   generic map (
      DEVICE => "VIRTEX6",  -- Target Device: "VIRTEX6", "SPARTAN6"
      LATENCY => 4,         -- Desired clock cycle latency, 1-4
      WIDTH_PREADD => 25,   -- Pre-Adder input bus width, 1-25
      WIDTH_MULTIPLIER => 18, -- Multiplier input bus width, 1-18    
      WIDTH_PRODUCT => 48)    -- MACC output width, 1-48
   port map (
      PRODUCT => PRODUCT,     -- MACC result output, width defined by WIDTH_PRODUCT generic
      MULTIPLIER => MULTIPLIER, -- Multiplier data input, width determined by WIDTH_MULTIPLIER generic
      PREADDER1 => PREADDER1,   -- Preadder data input, width determined by WIDTH_PREADDER generic
      PREADDER2 => PREADDER2,   -- Preadder data input, width determined by WIDTH_PREADDER generic
      CARRYIN => CARRYIN, -- 1-bit carry-in input
      CE => CE,      -- 1-bit input clock enable
      CLK => CLK,    -- 1-bit clock input
      LOAD => LOAD, -- 1-bit accumulator load input
      LOAD_DATA => LOAD_DATA, -- Accumulator load data input, width defined by WIDTH_PRODUCT generic
      RST => RST    -- 1-bit input active high synchronous reset
   );
   -- End of ADDMACC_MACRO_inst instantiation


а в библиотеке
PREADD1 и PREADD2
Код
----- CELL ADDMACC_MACRO -----

library IEEE;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;

library UNISIM;
use UNISIM.vcomponents.all;

library STD;
use STD.TEXTIO.ALL;


entity ADDMACC_MACRO is
  generic (
            DEVICE : string := "VIRTEX6";
            LATENCY : integer := 4;
            WIDTH_PREADD : integer := 25;
            WIDTH_MULTIPLIER : integer := 18;
            WIDTH_PRODUCT : integer := 48
       );

  port (
      PRODUCT : out std_logic_vector(WIDTH_PRODUCT-1 downto 0);
      CARRYIN : in std_logic;
      CE : in std_logic;
      CLK : in std_logic;
      MULTIPLIER : in std_logic_vector(WIDTH_MULTIPLIER-1 downto 0);
      LOAD : in std_logic;
      LOAD_DATA : in std_logic_vector(WIDTH_PRODUCT-1 downto 0);
      PREADD1 : in std_logic_vector(WIDTH_PREADD-1 downto 0);
      PREADD2 : in std_logic_vector(WIDTH_PREADD-1 downto 0);
      RST : in std_logic
     );
end entity ADDMACC_MACRO;


ЗЫ: ИМХО, есть смысл тему перекинуть в "среды разработки".


Сообщение отредактировал faa - Mar 15 2011, 07:02
Go to the top of the page
 
+Quote Post
Postoroniy_V
сообщение Mar 15 2011, 07:17
Сообщение #22


МедвеД Инженер I
****

Группа: Свой
Сообщений: 816
Регистрация: 21-10-04
Пользователь №: 951



bb-offtopic.gif
млин....опять, очередная...
мне вот очень нравится как хилые выбрасывают из своих либ модули( память, фифо, умножители) старых версий... так потихому.
проверяю разные проекты, как итог нужно держать либы от разных версий, начиная от 10х до..
не понятно что мешает держать враперы общие для всех.


--------------------
Cogito ergo sum
Go to the top of the page
 
+Quote Post
Koluchiy
сообщение Mar 17 2011, 12:36
Сообщение #23


Знающий
****

Группа: Свой
Сообщений: 972
Регистрация: 12-04-09
Из: Москва
Пользователь №: 47 543



Скажите пожалуйста.
Позволяет ли MIG в ISE 13.1 генерить интерфейс для нескольких памятей DDR2?

Вот ISE 12.3 для нескольких памятей может генерить только в случае DDR3.
Go to the top of the page
 
+Quote Post
vugluskr
сообщение Mar 18 2011, 08:31
Сообщение #24


High speed digital design
***

Группа: Свой
Сообщений: 413
Регистрация: 6-10-09
Пользователь №: 52 786



Цитата(Koluchiy @ Mar 17 2011, 15:36) *
Скажите пожалуйста.
Позволяет ли MIG в ISE 13.1 генерить интерфейс для нескольких памятей DDR2?

Вот ISE 12.3 для нескольких памятей может генерить только в случае DDR3.




--------------------
Go to the top of the page
 
+Quote Post
Koluchiy
сообщение Mar 18 2011, 08:40
Сообщение #25


Знающий
****

Группа: Свой
Сообщений: 972
Регистрация: 12-04-09
Из: Москва
Пользователь №: 47 543



Спасибо!
А для Virtex-6?
У S6 всё-таки аппаратные блоки, немного другой коленкор blush.gif .
Go to the top of the page
 
+Quote Post
vugluskr
сообщение Mar 18 2011, 08:52
Сообщение #26


High speed digital design
***

Группа: Свой
Сообщений: 413
Регистрация: 6-10-09
Пользователь №: 52 786



Цитата(Koluchiy @ Mar 18 2011, 11:40) *
Спасибо!
А для Virtex-6?
У S6 всё-таки аппаратные блоки, немного другой коленкор blush.gif .



--------------------
Go to the top of the page
 
+Quote Post
Koluchiy
сообщение Mar 18 2011, 10:07
Сообщение #27


Знающий
****

Группа: Свой
Сообщений: 972
Регистрация: 12-04-09
Из: Москва
Пользователь №: 47 543



Еще раз спасибо!

Блин, придется переходить на DDR3...
Go to the top of the page
 
+Quote Post
Oldring
сообщение Mar 18 2011, 11:56
Сообщение #28


Гуру
******

Группа: Свой
Сообщений: 3 041
Регистрация: 10-01-05
Из: Москва
Пользователь №: 1 874



Код
Generating Report ...


Fatal Error.Occurred at file XXX.twx, line 340, column 320811. An exception occurred! Type:UTFDataFormatException, Message:invalid bytes Г , [ of 3-byte sequence.
Number of warnings: 0
Total time: 6 secs

Process "Generate Post-Place & Route Static Timing" completed successfully


laughing.gif cranky.gif

PS После отключения многопоточности и очистки проекта вроде заработало. Видимо, многопоточность в 13.1 просто нерабочая.

Из небольших глюков еще. В 13.1 декларирована полная поддержка VHDL до уровня элаборейшина. Так что можно написать алиас для пакета и сложное имя с ним, и синтезатор должен понять. И, действительно, синтезатор понимает, не знаю, насколько безглюкаво, но вот только команда "Check syntax" выдает ошибку, что такой пакет отсутствует.


--------------------
Пишите в личку.
Go to the top of the page
 
+Quote Post
DB51
сообщение Mar 18 2011, 12:22
Сообщение #29





Группа: Участник
Сообщений: 14
Регистрация: 13-12-10
Из: BS
Пользователь №: 61 587



а какой тогда из последних ISE самый стабильный? 12.4 нормальный?
Go to the top of the page
 
+Quote Post
Koluchiy
сообщение Mar 18 2011, 12:48
Сообщение #30


Знающий
****

Группа: Свой
Сообщений: 972
Регистрация: 12-04-09
Из: Москва
Пользователь №: 47 543



А Вы с какими семействами работаете?
Если не выше V5, то я бы посоветовал 11.5.
Если с S6 хотите, то в моём понимании минимум 12.3, да и то есть информация, что даже в ней не совсем корректные временные характеристики для S6.
Если V6, то например документация на нее периодически выдает фразы типа "рекомендуем 13.1, такие-то характеристики там уточнены".

В общем, лично я жду 13.2, а лучше 13.3 sm.gif.
Go to the top of the page
 
+Quote Post

4 страниц V  < 1 2 3 4 >
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th July 2025 - 16:13
Рейтинг@Mail.ru


Страница сгенерированна за 0.01505 секунд с 7
ELECTRONIX ©2004-2016