реклама на сайте
подробности

 
 
7 страниц V  « < 5 6 7  
Reply to this topicStart new topic
> Почему не хватает родных САПР для ПЛИС?, Зачем нужны Active-HDL, Riviera, ModelSym, Synplify, Identify...
des00
сообщение Sep 4 2011, 13:23
Сообщение #91


Вечный ламер
******

Группа: Модераторы
Сообщений: 7 248
Регистрация: 18-03-05
Из: Томск
Пользователь №: 3 453



Цитата(ViKo @ Sep 4 2011, 03:45) *
Приоритет имеет первый оператор, не последний. Сначала проверяется подходящее условие для него, а уж потом, если условие не выполняется, выполняются следующие операторы.

вы что издеваетесь? Напомню что речь идет про сабжевый код
Код
if rising_edge(clk) then
  <последовательные операторы>
end if;
if rst = '1' then
  <что-то инициализировали>
end if;


Думаю что сами исправите свою ошибку %)


--------------------
Go to the top of the page
 
+Quote Post
ViKo
сообщение Sep 4 2011, 15:36
Сообщение #92


Универсальный солдатик
******

Группа: Модераторы
Сообщений: 8 634
Регистрация: 1-11-05
Из: Минск
Пользователь №: 10 362



Цитата(des00 @ Sep 4 2011, 16:23) *
вы что издеваетесь?
Думаю что сами исправите свою ошибку %)

laughing.gif
А если присваивания блокирующие? Посмотрите, что получается при компиляции следующего кода (я пользуюсь Quartus 9.1 SP2).
Код
module Trigger (input clk, rst_n, in, output out);
  always_ff @(posedge clk, negedge rst_n) begin
    if (clk) out = in;
    if (!rst_n) out = 0;
  end
endmodule

Можете переставить строки в блоке местами %.
ЗЫ. И _ff ему, Quartus'у, нипочем...
ЗЗЫ. Да и неблокирующие присваивания не помогают. Не получается у Quartus'а триггер, а получается - ...!
Похоже, издевка удалась, но не у меня. sm.gif
Go to the top of the page
 
+Quote Post
Timmy
сообщение Sep 4 2011, 18:19
Сообщение #93


Знающий
****

Группа: Участник
Сообщений: 835
Регистрация: 9-08-08
Из: Санкт-Петербург
Пользователь №: 39 515



Цитата(ViKo @ Sep 4 2011, 19:36) *
А если присваивания блокирующие? Посмотрите, что получается при компиляции следующего кода (я пользуюсь Quartus 9.1 SP2).
Код
module Trigger (input clk, rst_n, in, output out);
  always_ff @(posedge clk, negedge rst_n) begin
    if (clk) out = in;
    if (!rst_n) out = 0;
  end
endmodule

Этот код вовсе не соответствует шаблону, который я приводил. Оператор "out = in;" тут выполняется не только по фронту clk, но и по фронту rst_n(при условии clk==1), чего допускать нельзя. Как написать полный аналог моего VHDL метода асинхронного сброса на Верилоге, я, честно говоря, даже не представляю. В Верилоге вроде нет функции проверки фронта сигнала, а без неё никак.
Go to the top of the page
 
+Quote Post
ViKo
сообщение Sep 4 2011, 18:45
Сообщение #94


Универсальный солдатик
******

Группа: Модераторы
Сообщений: 8 634
Регистрация: 1-11-05
Из: Минск
Пользователь №: 10 362



Цитата(Timmy @ Sep 4 2011, 21:19) *
... Как написать полный аналог моего VHDL метода асинхронного сброса на Верилоге, я, честно говоря, даже не представляю. В Верилоге вроде нет функции проверки фронта сигнала, а без неё никак.

Похоже на то.
А des00 сказал "в V, SV абсолютно тоже самое". crying.gif
Go to the top of the page
 
+Quote Post
des00
сообщение Sep 5 2011, 03:20
Сообщение #95


Вечный ламер
******

Группа: Модераторы
Сообщений: 7 248
Регистрация: 18-03-05
Из: Томск
Пользователь №: 3 453



Цитата(ViKo @ Sep 4 2011, 10:36) *
laughing.gif
А если присваивания блокирующие? Посмотрите, что получается при компиляции следующего кода (я пользуюсь Quartus 9.1 SP2).
Код
module Trigger (input clk, rst_n, in, output out);
  always_ff @(posedge clk, negedge rst_n) begin
    if (clk) out = in;
    if (!rst_n) out = 0;
  end
endmodule

Можете переставить строки в блоке местами %.
ЗЗЫ. Да и неблокирующие присваивания не помогают. Не получается у Quartus'а триггер, а получается - ...!

У вас очень интересный способ аргументации.
Вместо того, что бы посмотреть стандарт на HDL, раздел касающийся присвоений сигналов и очередей исполнения. Убедится что в VHDL/V/SV любое присвоение сигнала, без задания временной задержки переопределяет все предыдущие присваивания.
Вы берете синтезатор(!!!!) как истину в последней инстанции, пишете код, который вступает в противоречие как с требованиям самого синтезатора, так и со стандартом на описание синтезируемых конструкций языка V (не знаю есть ли подобный документ для SV).

И предъявляете этот результат как однозначное опровержение того, что стандарты врут. Вам не кажется что вы, пытаясь доказать от противного, идете не по тому пути?

Если идти дальше, насчет вашего кода, то в симуляторе он ведет себя как триггер. А то что вас "напугало" в результатах синтеза, представляет собой классический двухтактный триггер, но без RS звена. И это будет работать, так как вы его описали, как триггер. А то что реализован не на аппаратных DFF, дык надо было стандартам следовать, код писать как рекомендуется.

Цитата
Похоже, издевка удалась, но не у меня. sm.gif

Это сообществу решать

Цитата(ViKo @ Sep 4 2011, 13:45) *
А des00 сказал "в V, SV абсолютно тоже самое". crying.gif

Если бы это было не так то ~40-50 % моих проектов в принципе бы не работали.
Эскизы прикрепленных изображений
Прикрепленное изображение
Прикрепленное изображение
 


--------------------
Go to the top of the page
 
+Quote Post
ViKo
сообщение Sep 5 2011, 04:43
Сообщение #96


Универсальный солдатик
******

Группа: Модераторы
Сообщений: 8 634
Регистрация: 1-11-05
Из: Минск
Пользователь №: 10 362



Цитата(des00 @ Sep 5 2011, 06:20) *
У вас очень интересный способ аргументации.
Вместо того, что бы посмотреть стандарт на HDL, раздел касающийся присвоений сигналов и очередей исполнения. Убедится что в VHDL/V/SV любое присвоение сигнала, без задания временной задержки переопределяет все предыдущие присваивания.
...
Если идти дальше, насчет вашего кода, то в симуляторе он ведет себя как триггер. А то что вас "напугало" в результатах синтеза, представляет собой классический двухтактный триггер, но без RS звена. И это будет работать, так как вы его описали, как триггер. А то что реализован не на аппаратных DFF, дык надо было стандартам следовать, код писать как рекомендуется.

Я согласен, что был неправ в первом сообщении. И не доказываю свою правоту любым способом. Просто выясняю истину.
Переопределяет все предыдущие...? Разве блокирующее присваивание дожидается выхода из блока, а не задает сигнал каждый раз, когда выполняется? Посмотрю.
Но уже при проверке в реальном коде выяснилось, что для SV получается не тактируемый триггер, а latch. И временные диаграммы, что Quartus мне нарисовал, триггеру не соответствуют. Чего это у вас in скачет перед "нужными" тактами?
Цитата
Это сообществу решать

По-моему, вы тоже были неправы, когда сказали, что в V, SV то же самое, что и в VHDL. Зачем что-то решать и кому-то доказывать? Обычные дела. Главное, выяснилось, что в SV конструкция, предложенная Timmy, не работает. Такой результат дискуссии меня устраивает. Надеюсь, что и вас.

upd. Картинку из Квартуса добавил.
Эскизы прикрепленных изображений
Прикрепленное изображение
 
Go to the top of the page
 
+Quote Post
Singer
сообщение Sep 12 2011, 11:44
Сообщение #97


Участник
*

Группа: Свой
Сообщений: 52
Регистрация: 13-11-07
Пользователь №: 32 296



Уважаемые специалисты, нет ли у кого актуальной информации по порядку цен на одно лицензионное рабочее место на связку
Hdl Designer + Precision RTL + Model Sim SE ?
Go to the top of the page
 
+Quote Post
Stewart Little
сообщение Sep 12 2011, 13:34
Сообщение #98


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Цитата(Singer @ Sep 12 2011, 15:44) *
Уважаемые специалисты, нет ли у кого актуальной информации по порядку цен на одно лицензионное рабочее место на связку
Hdl Designer + Precision RTL + Model Sim SE ?

Обратитесь в Мегратек
Они официальные представители Ментора в России.


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
des00
сообщение Sep 12 2011, 13:51
Сообщение #99


Вечный ламер
******

Группа: Модераторы
Сообщений: 7 248
Регистрация: 18-03-05
Из: Томск
Пользователь №: 3 453



Цитата(Singer @ Sep 12 2011, 05:44) *
Уважаемые специалисты, нет ли у кого актуальной информации по порядку цен на одно лицензионное рабочее место на связку
Hdl Designer + Precision RTL + Model Sim SE ?

хмм, ну где то миллиона под 2 %)


--------------------
Go to the top of the page
 
+Quote Post
warrior-2001
сообщение Sep 14 2011, 11:47
Сообщение #100


Местный
***

Группа: Свой
Сообщений: 375
Регистрация: 9-10-08
Из: Таганрог, Ростовская обл.
Пользователь №: 40 792



Цитата(des00 @ Sep 12 2011, 17:51) *
хмм, ну где то миллиона под 2 %)


А вдруг это учебное заведение?

Лучше все таки воспользоваться советом Stewart Little.
Да и моделсим не так дорог, в отличие от Questasim.


--------------------
Глупцы игнорируют сложность. Прагматики терпят ее. Некоторые могут избегать ее. Гении ее устраняют.
Go to the top of the page
 
+Quote Post
des00
сообщение Sep 14 2011, 12:15
Сообщение #101


Вечный ламер
******

Группа: Модераторы
Сообщений: 7 248
Регистрация: 18-03-05
Из: Томск
Пользователь №: 3 453



Цитата(warrior-2001 @ Sep 14 2011, 06:47) *
А вдруг это учебное заведение?

про это в вопросе ничего не было %)

Цитата
Да и моделсим не так дорог, в отличие от Questasim.

приведенная мной цена как раз для моделсима sm.gif


--------------------
Go to the top of the page
 
+Quote Post
dysan
сообщение Sep 27 2011, 09:41
Сообщение #102


Частый гость
**

Группа: Участник
Сообщений: 77
Регистрация: 17-02-10
Пользователь №: 55 532



Подскажите начинающему, существуют ли маршруты по проектированию FPGA Xilinx без пользования услуг ISE? Т.е. заменяющие не только ввод и синтез, но и остальные процессы(Translate, Map, Place&Route, GenerateProgrammingFile, Cofigure)? И если такие маршруте есть, то напишите прямо по пунктам какой софт какой процесс в ISE заменяет.
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Sep 27 2011, 09:52
Сообщение #103


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Цитата(dysan @ Sep 27 2011, 13:41) *
Подскажите начинающему, существуют ли маршруты по проектированию FPGA Xilinx без пользования услуг ISE?
Разумеется, нет. Каждый вендор производит свои средства разводки.
Go to the top of the page
 
+Quote Post

7 страниц V  « < 5 6 7
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 21st July 2025 - 07:51
Рейтинг@Mail.ru


Страница сгенерированна за 0.02294 секунд с 7
ELECTRONIX ©2004-2016