|
не видно сигнала на входе, SignalTap всегда '0', статус от DSP |
|
|
|
Jul 10 2011, 20:26
|
Местный
  
Группа: Свой
Сообщений: 254
Регистрация: 23-10-10
Из: астрал
Пользователь №: 60 371

|
Quartus выдает Node vs:dreq was determined to be a clock but was found without an associated clock assignment Код vs_dreq: in std_logic; и сигнала нет в SignalTap, те '0' всегда , что не должно по идее быть так как это выход другой, внешней DSP... что именно значит вообщение и как лучше лечить?
|
|
|
|
|
 |
Ответов
(1 - 8)
|
Jul 11 2011, 09:46
|
Местный
  
Группа: Свой
Сообщений: 254
Регистрация: 23-10-10
Из: астрал
Пользователь №: 60 371

|
Цитата(vadimuzzz @ Jul 11 2011, 04:34)  а по какому клоку он защелкивается в сигналтапе? глобальному clk ожидаемый dreq сигнал не привязат на частоты , он стабильно 0 или 1 , но у меня пока только 0, всегда - что и странно.... не думаю что signaltap правильно показывает, ну или DSP барахлит.... пока что хочу убрать все ссвязанные Quartus сообщения...
|
|
|
|
|
Jul 12 2011, 18:50
|
Местный
  
Группа: Свой
Сообщений: 254
Регистрация: 23-10-10
Из: астрал
Пользователь №: 60 371

|
Цитата(des00 @ Jul 11 2011, 04:43)  вот этого, это точно не значит и лечится по другому %) ok, покопал твои статьи по TimeQuest и решил все это Код create_clock -name clk12MHz -period 12MHz [get_ports {vs_dreq}]
set_clock_groups -exclusive -group {clk12MHz}
|
|
|
|
|
Jul 18 2011, 12:56
|
Местный
  
Группа: Свой
Сообщений: 254
Регистрация: 23-10-10
Из: астрал
Пользователь №: 60 371

|
вообщем сообщений Quartus нет , как пока и самого сигнала  , FPGA pin подключен как по Fitter: Цитата Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- vs_dreq : 128 : input : 3.3-V LVTTL : : 8 : Y 128я ножка , как именно по схеме и надо, input для Cyclone.... может смущать "3.3-V LVTTL" но IMHO правильно для DREQ от VS1053 ( на всякий случай datasheet - http://www.vlsi.fi/fileadmin/datasheets/vlsi/vs1053.pdf ) уже начал задумываться на поиске осцилографа, ибо нет доверия SignalTap может кто еще что предложит как вариант?
|
|
|
|
|
Jul 19 2011, 12:44
|
Частый гость
 
Группа: Свой
Сообщений: 181
Регистрация: 28-08-04
Пользователь №: 557

|
QUOTE (DevL @ Jul 11 2011, 13:46)  глобальному clk
ожидаемый dreq сигнал не привязат на частоты , он стабильно 0 или 1 , но у меня пока только 0, всегда - что и странно.... не думаю что signaltap правильно показывает, ну или DSP барахлит....
пока что хочу убрать все ссвязанные Quartus сообщения... Попробуй применить "auto_global_clock off" на dreq. А Signaltap вообще не любит асинхронных к его clock'у сигналов. Если приспичило, создай другой instance и заводи через trigger in.
|
|
|
|
|
  |
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|