Цитата(des00 @ Dec 13 2011, 14:57)

тактовая на ЦАП неправильно задана.
А где неправильно? Я ваши статьи до дыр зачитал... ни чего не понятно. По вашим картинкам и по вашему тексту вроде всё понятно. У меня совершенно другие картинки в TQ. На моем рисунке начало анализа не понятно от куда. Вышел Lautch Clock из плл (самая верхняя кривая), после этого этот клок должен прийти на вход ОЗУ, очевидно что будет какая-то задержка.... 1...2 нс. Но у меня задержка клока -3.474 нс (Clock Delay). Почему МИНУС 3.47? Далее .... после того, как клок прошел задержку в МИНУС 3.47нс идет задержка 6,243 нс на доставку данных к порту плис (Data Delay).
констрейны create_generated_clock в TQ автоматом создал. Там что-то неправильно?
Что нужно констрейнить и относительно чего? На самом деле мне нужно чтоб на НОЖКЕ плис clkDAC сигнал не убегал относительно данных на НОЖКАХ плис Dout. Как Doun или clkDAC убежит относительно входного клока ПЛИС или выходных регистров PLL - неважно.
Из TQ попытался сделать
set_max_delay -from [get_ports {Dout[*]}] -to [get_ports {clkDac}] 7
так проект вообще не собирается в квартусе.
ps Вопрос по вашей статье.....часть4
Цитата
Положим параметры ЦАП tsu/th = 5ns/5ns
Код
set_output_delay -clock [get_clocks {oclk}] -max 5.0 [get_ports {data[*]}]
set_output_delay -clock [get_clocks {oclk}] -min -5.0 [get_ports {data[*]}]
Это вы задали допуски для ...... для выхода data[*] модуля dac относительно выхода oclk модуля dac. А как же задержка прохождения от выхода data[*] модуля dac до конкретного пина плис? Или она не констрейница?
Почему в TQ время Clock Delay в Data Required отрицательное?
Сообщение отредактировал juvf - Dec 13 2011, 11:03