|
Помогите с констрейнами |
|
|
|
Dec 13 2011, 05:38
|

Профессионал
    
Группа: Свой
Сообщений: 1 261
Регистрация: 14-05-09
Из: Челябинск
Пользователь №: 49 045

|
[attachment=63490:______2.PNG]на рисунке 2 схема управления внешним ЦАП. На рисунке 1 времянка из даташит на АЦП. Как правильно задать констрейны не учитывая внешних задержек (в плате все цепи выравнены). в *.sdc файле описал клоки. Код set_time_format -unit ns -decimal_places 3 create_clock -name {clk1} -period 10.000 -waveform { 0.000 5.000 } [get_ports {clk}] create_generated_clock -name {pll|clk_out[0]} -source [get_pins {pll|clk_in[0]}] -duty_cycle 50.000 -master_clock {clk1} [get_pins {pll|clk_out[0]}] create_generated_clock -name {pll|clk_out[1]} -source [get_pins {pll|clk_in[0]}] -duty_cycle 50.000 -phase 90.000 -master_clock {clk1} [get_pins {pll|clk_out[1]}] не могу понять как описывать выходные пины Dout и clkDac? Очевидно нужно описать выходные задержки, но между чем и чем? мне нужно чтобы передний фронт clkDac был посередине установки данных на Dout. По даташиту, при моих частотах допускается передний фронт clkDac двигать ±2 нс относительно Dout. Как это правильно прописать в констерейнах? пробовал так Код set_output_delay -clock [get_clocks {pll|clk_out[0]}] -max 7 [get_ports {clkDac}] set_output_delay -clock [get_clocks {pll|clk_out[0]}] -min 3 [get_ports {clkDac}] set_output_delay -clock [get_clocks {pll|clk_out[0]}] -max 2 [get_ports {Dout[*]}] set_output_delay -clock [get_clocks {pll|clk_out[0]}] -min -2 [get_ports {Dout[*]}] такие констрейны не выполняются. Например по clkAdc такие картинки - рисунок 3. Не понятно по графику.... по идее клок pll|clk_in[0] испустился (Launch Clock), через 5 нс ±2 должен появится клок на выходе плис clkDac (Latch Clock). Но на графике всякие Clok Delay и Data Delay почемуто ДО того, как испустился клок (Launch Clock). Кто-нибудь может пояснить эти диаграммы, плииз.
Сообщение отредактировал juvf - Dec 13 2011, 05:43
Эскизы прикрепленных изображений
|
|
|
|
|
 |
Ответов
|
Dec 14 2011, 06:54
|

Профессионал
    
Группа: Свой
Сообщений: 1 261
Регистрация: 14-05-09
Из: Челябинск
Пользователь №: 49 045

|
Цитата я же говорил что ничего сложного, но требуется понимание физики процесса ага, спасибо за подсказки. В тестовом проекте не стал фазу вообще крутить. сделал 0°. и tsu/th сделал 4 нс. проект пустой все собралось прекрасно. Стал в рабочем проекте реализовывать полученный опыт )) Если фазу не крутить, и tsu/th = 3 нс, то setup не проходит. т.е. получается Data Arrival порядка 9 нс. допустим фаза сдвинута на 359°. Т.е. клок на цапе будет задержан практически на 9.999 нс. и чуть чуть не проходит. не успевают данные к расчетному Data Required. Задержать бы клок ещё на пару нс и былобы всё прекрасно. Ставлю в PLL задержку на клок в 11 нс, при том что период равен 10. Тут уже TQ не понимает меня. Он считает что клок на цапе задержен не на 11, а на 1 нс. и соответственно все расчеты делает неверно. см рисунок. Как в таких случаях указать TQ, что Latch Clock задержен от Lautch Clok на время, больше периода, т.е. на 11 нс?
Эскизы прикрепленных изображений
|
|
|
|
|
Dec 14 2011, 08:32
|

Профессионал
    
Группа: Свой
Сообщений: 1 261
Регистрация: 14-05-09
Из: Челябинск
Пользователь №: 49 045

|
Цитата(des00 @ Dec 14 2011, 13:17)  1. триггеры в выходные порты ЦАПА поставьте. наверно это будет самое правильное. раньше стояли триггеры, но убрал, чему-то они сильно мешали. Возможно верну. Цитата 2. Мультициклы. Подробнее посмотрите сайт журнала КиТ. Спасибо, нашел ваши статьи. Выучил ещё один урок )). Если можно, ещё вопрос..... На самом деле для работы цап нужен clk и wr. По даташиту они практически совпадают. Я сейчас отконстреинил данные относительно клока на цап. wr на цап - это соседний порт на плис соединённый внутри плис. см рис. Нужно описывать констрейны для wr? Если нужно, то как? Первые мысли - это создать ещё один клок от выхода плл до порта вр, так же как клок для цап, и прописать задержки для данных относительно нового клока wr.
Эскизы прикрепленных изображений
|
|
|
|
Сообщений в этой теме
juvf Помогите с констрейнами Dec 13 2011, 05:38 des00 тактовая на ЦАП неправильно задана. Dec 13 2011, 08:57 juvf Цитата(des00 @ Dec 13 2011, 14:57) тактов... Dec 13 2011, 11:02  des00 Цитата(juvf @ Dec 13 2011, 06:02) А где н... Dec 13 2011, 11:56   juvf Цитата(des00 @ Dec 13 2011, 17:56) судя п... Dec 13 2011, 15:21    des00 Цитата(juvf @ Dec 13 2011, 09:21) т.е. ну... Dec 13 2011, 19:22 juvf с клоком ЦАП вроде прояснилось. Но все равно не по... Dec 14 2011, 05:58 des00 Цитата(juvf @ Dec 14 2011, 00:58) я прави... Dec 14 2011, 06:11    juvf Цитата(des00 @ Dec 14 2011, 15:50) я бы с... Dec 14 2011, 10:14 juvf А как констрейнить такие схемы? Сигнал прерывания ... Dec 19 2011, 03:42 des00 Цитата(juvf @ Dec 18 2011, 21:42) А как к... Dec 19 2011, 04:15 Kuzmi4 2 des00
где то в доках встречал что false_path и э... Dec 20 2011, 15:42 des00 Цитата(Kuzmi4 @ Dec 20 2011, 09:42) 2 des... Dec 21 2011, 03:15 Kuzmi4 Это был скорее вопрос потому как я не помню где ... Dec 21 2011, 09:38 des00 Цитата(Kuzmi4 @ Dec 21 2011, 03:38) Это б... Dec 22 2011, 05:45 juvf чем дальше в лес, тем больше дров. как написать бл... Dec 22 2011, 11:17 des00 Цитата(juvf @ Dec 22 2011, 06:17) чем дал... Dec 22 2011, 11:25 juvf ЦитатаЯ опять насчет стрельца!
Нет беде м... Dec 23 2011, 03:35 otv116 Добрый день.
Чтобы не плодить темы, пишу сюда.
За... Jul 17 2014, 12:54 Maverick Цитата(otv116 @ Jul 17 2014, 15:54) Добры... Jul 17 2014, 13:04 otv116 Понимаете, тут вопрос не столько в том, как выкину... Jul 17 2014, 19:23 otv116 Помогите, пожалуйста, обконстрейнить следующую схе... Feb 10 2015, 12:39 otv116 Попробовал с виртуальным клоком.
Создал его, потом... Feb 17 2015, 07:11
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|