Цитата(seldim @ Apr 11 2012, 05:54)

bt1_count и bt1_er_count в списках чувствительности вставлял по просьбе Qvartusa, чтоб варнингов меньше было, а кокда пытался с моделсимом работать так он код VHDL (не гейт левел) вообще както плохо отрабатывал, если в список не включить все , что влияет на сигнал.
???
CODE
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity bt_cntrl is
port (
bt : in std_logic;
clk_610_Hz : in std_logic;
clk_2_Hz : in std_logic;
en_bt : out std_logic;
err_bt : out std_logic);
end bt_cntrl;
architecture bt_cntrl of bt_cntrl is
signal bt_cnt : integer range 0 to 31 := 0;
signal bt_err_cnt : integer range 0 to 15 := 0;
begin
process (bt, clk_610_Hz)
begin
if bt = '1' then
bt_cnt <= 0;
elsif clk_610_Hz 'event and clk_610_Hz = '1' then
if bt_cnt < 18 then
bt_cnt <= bt_cnt + 1;
end if;
end if;
end process;
process (bt, clk_2_Hz)
begin
if bt = '1' then
bt_err_cnt <= 0;
elsif clk_2_Hz 'event and clk_2_Hz = '1' then
if bt_err_cnt < 13 then
bt_err_cnt <= bt_err_cnt + 1;
end if;
end if;
end process;
en_bt <= '1' when bt_cnt = 18 else '0';
err_bt <= '1' when bt_err_cnt = 13 else '0';
end bt_cntrl;
----------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity keyboard_cntrl is
port (
bt : in std_logic_vector(0 to 29);
clk_610_Hz : in std_logic;
clk_2_Hz : in std_logic;
en_bt : out std_logic_vector(0 to 29);
err_bt : out std_logic_vector(0 to 29));
end keyboard_cntrl;
architecture keyboard_cntrl of keyboard_cntrl is
component bt_cntrl is
port (
bt : in std_logic;
clk_610_Hz : in std_logic;
clk_2_Hz : in std_logic;
en_bt : out std_logic;
err_bt : out std_logic);
end component bt_cntrl;
begin
BUTTONS : for i in 0 to 29 generate
buttom : bt_cntrl
port map(
bt => bt(i),
clk_610_Hz => clk_610_Hz,
clk_2_Hz => clk_2_Hz,
en_bt => en_bt(i),
err_bt => err_bt(i));
end generate BUTTONS;
end keyboard_cntrl;
Цитата(seldim @ Apr 11 2012, 05:54)

А сам квартус вроде слышал допускает вообще список чуствительности не указывать, но решил писать все и в дальнейшем при описании кода самому проще будет.
И да, и нет.

Цитата(seldim @ Apr 11 2012, 05:54)

А насчет книжек полное название подскажете?
Разумеется.

Е.А. Суворова, Ю.Е. Шейнин "Проектирование цифровых систем на VHDL".
Вам конкретно нужен раздел "Структурное описание объекта моделирования" (глава 3, стр.110).
Слова "объекта моделирования" пусть Вас не смущают

.