|
как отловить в каком месте ставится latch? |
|
|
|
Apr 3 2013, 11:04
|
Частый гость
 
Группа: Свой
Сообщений: 139
Регистрация: 3-04-13
Пользователь №: 76 333

|
суммари: Device Utilization Summary: Number of Slice Registers - 4,631 Number used as Flip Flops - 4,630 Number used as Latches - 1 ..... синтез репорт: CODE Device utilization summary: --------------------------- Selected Device : 6vlx195tff1156-1
Slice Logic Utilization: Number of Slice Registers: 4816 out of 249600 1% Number of Slice LUTs: 4488 out of 124800 3% Number used as Logic: 3500 out of 124800 2% Number used as Memory: 988 out of 48640 2% Number used as SRL: 988
Slice Logic Distribution: Number of LUT Flip Flop pairs used: 6227 Number with an unused Flip Flop: 1411 out of 6227 22% Number with an unused LUT: 1739 out of 6227 27% Number of fully used LUT-FF pairs: 3077 out of 6227 49% Number of unique control sets: 181
IO Utilization: Number of IOs: 440 Number of bonded IOBs: 344 out of 600 57%
Specific Feature Utilization: Number of Block RAM/FIFO: 3 out of 344 0% Number using Block RAM only: 3 Number of BUFG/BUFGCTRLs: 4 out of 32 12% мап репорт: CODE Design Summary -------------- Number of errors: 0 Number of warnings: 358 Slice Logic Utilization: Number of Slice Registers: 4,631 out of 249,600 1% Number used as Flip Flops: 4,630 Number used as Latches: 1 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 Number of Slice LUTs: 3,955 out of 124,800 3% Number used as logic: 2,931 out of 124,800 2% Number using O6 output only: 2,255 Number using O5 output only: 33 Number using O5 and O6: 643 Number used as ROM: 0 Number used as Memory: 984 out of 48,640 2% Number used as Dual Port RAM: 0 Number used as Single Port RAM: 0 Number used as Shift Register: 984 Number using O6 output only: 984 Number using O5 output only: 0 Number using O5 and O6: 0 Number used exclusively as route-thrus: 40 Number with same-slice register load: 39 Number with same-slice carry load: 1 Number with other load: 0
Slice Logic Distribution: Number of occupied Slices: 1,781 out of 31,200 5% Number of LUT Flip Flop pairs used: 5,224 Number with an unused Flip Flop: 898 out of 5,224 17% Number with an unused LUT: 1,269 out of 5,224 24% Number of fully used LUT-FF pairs: 3,057 out of 5,224 58% Number of unique control sets: 183 Number of slice register sites lost to control set restrictions: 705 out of 249,600 1%
IO Utilization: Number of bonded IOBs: 440 out of 600 73% Number of LOCed IOBs: 440 out of 440 100% IOB Flip Flops: 164 IOB Master Pads: 12 IOB Slave Pads: 12
Specific Feature Utilization: Number of RAMB36E1/FIFO36E1s: 3 out of 344 1% Number using RAMB36E1 only: 3 Number using FIFO36E1 only: 0 Number of RAMB18E1/FIFO18E1s: 0 out of 688 0% Number of BUFG/BUFGCTRLs: 4 out of 32 12% Number used as BUFGs: 4 Number used as BUFGCTRLs: 0 Number of ILOGICE1/ISERDESE1s: 64 out of 600 10% Number used as ILOGICE1s: 0 Number used as ISERDESE1s: 64 Number of OLOGICE1/OSERDESE1s: 166 out of 600 27% Number used as OLOGICE1s: 166 Number used as OSERDESE1s: 0 Number of BSCANs: 0 out of 4 0% Number of BUFHCEs: 0 out of 120 0% Number of BUFIODQSs: 8 out of 60 13% Number of BUFRs: 0 out of 30 0% Number of CAPTUREs: 0 out of 1 0% Number of DSP48E1s: 0 out of 640 0% Number of EFUSE_USRs: 0 out of 1 0% Number of FRAME_ECCs: 0 out of 1 0% Number of GTXE1s: 0 out of 20 0% Number of IBUFDS_GTXE1s: 0 out of 10 0% Number of ICAPs: 0 out of 2 0% Number of IDELAYCTRLs: 12 out of 15 80% Number of IODELAYE1s: 236 out of 600 39% Number of MMCM_ADVs: 1 out of 10 10% Number of PCIE_2_0s: 0 out of 2 0% Number of STARTUPs: 1 out of 1 100% Number of SYSMONs: 0 out of 1 0% Number of TEMAC_SINGLEs: 0 out of 4 0%
вопрос - как выловить эту защелку???
Сообщение отредактировал GAYVER - Apr 3 2013, 11:08
|
|
|
|
|
 |
Ответов
|
Apr 3 2013, 13:08
|
Частый гость
 
Группа: Свой
Сообщений: 139
Регистрация: 3-04-13
Пользователь №: 76 333

|
[codebox] WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_1> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_1> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/rvalidm3_tmp> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/rvalidm1_tmp> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_31> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_30> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_29> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_28> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_27> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_26> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_25> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_24> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/RDATAm1_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_30> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_29> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_28> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_27> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_26> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_25> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_24> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/araddrm3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/rreadym3_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave0/read_ch/rreadym1_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/n_channel_rn_d_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/rvalidm3_tmp> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/rvalidm1_tmp> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave1/read_ch/RDATAm1_31> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_31> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_30> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_29> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_28> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_27> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_26> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_25> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_24> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_1> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave7/write_ch/wdatam3_d_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <inter_slave8/write_ch/n_channel_datan_d_0> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <inter_slave8/write_ch/n_channel_data_0> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wvalidm3_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/awaddrm3_d_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/awaddrm3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/awaddrm3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/awaddrm3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_31> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_30> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_29> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_28> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_27> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_26> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_25> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_24> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wdatam3_d_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_1> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/write_ch/wdatam3_d_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <inter_slave41/write_ch/n_channel_datan_d_0> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <inter_slave41/write_ch/n_channel_data_0> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/wvalidm3_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/awaddrm3_d_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/write_ch/awaddrm3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_1> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_28> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_27> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_26> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_25> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_24> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/RDATAm1_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_15> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_14> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_13> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_12> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_11> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_10> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_9> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_8> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_7> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_6> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_1> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/araddrm3_d_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/araddrm3_d_5> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/araddrm3_d_4> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/araddrm3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/araddrm3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/arvalidm3_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/rreadym3_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/rreadym1_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_3> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/araddrm3_d_2> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/arvalidm3_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/rreadym3_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave8/read_ch/rreadym1_d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/n_channel_rn_d_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/rvalidm3_tmp> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/rvalidm1_tmp> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_31> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_30> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_29> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_28> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_27> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_26> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_25> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_24> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_23> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_22> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_21> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_20> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_19> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_18> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_17> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch <inter_slave41/read_ch/RDATAm1_16> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <inter_slave0/read_ch/arvalidm3_2d_dt> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <inter_slave0/read_ch/arvalidm3_2d> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <inter_slave0/read_ch/och2r> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <inter_slave0/read_ch/och1r> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <inter_slave0/read_ch/n_channel_r_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <inter_slave0/read_ch/ARID_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <K_QDR_RAM1/id_in_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <K_QDR_RAM1/RID_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <inter_slave0/read_ch/rid_tmp_0> (without init value) has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1898 - Due to constant pushing, FF/Latch <inter_slave0/read_ch/arreadym3_internal> is unconnected in block <SNK_OU>.[/codebox] [codebox] WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process.
Mapping all equations... WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. Building and optimizing final netlist ... Found area constraint ratio of 100 (+ 5) on block SNK_OU, actual ratio is 7. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. [/codebox] [codebox] Final Macro Processing ...
Processing Unit <SNK_OU> : Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_31>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_30>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_29>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_28>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_27>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_26>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_25>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_24>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_23>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_22>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_21>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_20>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_19>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_18>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_17>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_16>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_15>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_14>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_13>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_12>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_11>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_10>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_9>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_8>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_7>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_6>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_5>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_4>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_3>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_2>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_1>. Found 7-bit shift register for signal <K_QDR_RAM4/conv_data_6_0>. Found 8-bit shift register for signal <K_QDR_RAM4/t_op_conv_7>. Found 7-bit shift register for signal <K_QDR_RAM4/falling_arvalid_sh_ce_6>. Found 5-bit shift register for signal <K_QDR_RAM4/t_zap_conv_5>. Found 5-bit shift register for signal <K_QDR_RAM4/falling_arvalid_sh_5>. Found 5-bit shift register for signal <K_QDR_RAM4/rising_arvalid_sh_5>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_31>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_30>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_29>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_28>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_27>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_26>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_25>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_24>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_23>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_22>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_21>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_20>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_19>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_18>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_17>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_16>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_15>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_14>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_13>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_12>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_11>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_10>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_9>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_8>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_7>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_6>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_5>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_4>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_3>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_2>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_1>. Found 7-bit shift register for signal <K_QDR_RAM3/conv_data_6_0>. Found 8-bit shift register for signal <K_QDR_RAM3/t_op_conv_7>. Found 7-bit shift register for signal <K_QDR_RAM3/falling_arvalid_sh_ce_6>. Found 5-bit shift register for signal <K_QDR_RAM3/t_zap_conv_5>. Found 5-bit shift register for signal <K_QDR_RAM3/falling_arvalid_sh_5>. Found 5-bit shift register for signal <K_QDR_RAM3/rising_arvalid_sh_5>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_31>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_30>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_29>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_28>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_27>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_26>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_25>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_24>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_23>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_22>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_21>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_20>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_19>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_18>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_17>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_16>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_15>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_14>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_13>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_12>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_11>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_10>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_9>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_8>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_7>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_6>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_5>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_4>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_3>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_2>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_1>. Found 7-bit shift register for signal <K_QDR_RAM2/conv_data_6_0>. Found 8-bit shift register for signal <K_QDR_RAM2/t_op_conv_7>. Found 7-bit shift register for signal <K_QDR_RAM2/falling_arvalid_sh_ce_6>. Found 5-bit shift register for signal <K_QDR_RAM2/t_zap_conv_5>. Found 5-bit shift register for signal <K_QDR_RAM2/falling_arvalid_sh_5>. Found 5-bit shift register for signal <K_QDR_RAM2/rising_arvalid_sh_5>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_31>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_30>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_29>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_28>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_27>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_26>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_25>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_24>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_23>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_22>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_21>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_20>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_19>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_18>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_17>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_16>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_15>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_14>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_13>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_12>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_11>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_10>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_9>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_8>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_7>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_6>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_5>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_4>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_3>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_2>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_1>. Found 7-bit shift register for signal <K_QDR_RAM1/conv_data_6_0>. Found 8-bit shift register for signal <K_QDR_RAM1/t_op_conv_7>. Found 7-bit shift register for signal <K_QDR_RAM1/falling_arvalid_sh_ce_6>. Found 5-bit shift register for signal <K_QDR_RAM1/t_zap_conv_5>. Found 5-bit shift register for signal <K_QDR_RAM1/falling_arvalid_sh_5>. Found 5-bit shift register for signal <K_QDR_RAM1/rising_arvalid_sh_5>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_31>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_30>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_29>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_28>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_27>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_26>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_25>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_24>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_23>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_22>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_21>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_20>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_19>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_18>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_17>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_16>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_15>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_14>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_13>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_12>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_11>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_10>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_9>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_8>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_7>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_6>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_5>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_4>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_3>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_2>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_1>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam1_2d_0>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_31>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_30>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_29>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_28>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_27>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_26>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_25>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_24>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_23>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_22>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_21>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_20>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_19>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_18>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_17>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_16>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_15>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_14>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_13>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_12>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_11>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_10>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_9>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_8>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_7>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_6>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_5>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_4>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_3>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_2>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_1>. Found 2-bit shift register for signal <inter_slave0/write_ch/wdatam2_2d_0>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave0/write_ch/awaddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_31>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_30>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_29>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_28>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_27>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_26>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_25>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_24>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_23>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_22>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_21>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_20>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_19>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_18>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_17>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_16>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_15>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_14>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_13>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_12>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_11>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_10>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_9>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_8>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_7>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_6>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_5>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_4>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_3>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_2>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_1>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam1_2d_0>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_31>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_30>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_29>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_28>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_27>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_26>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_25>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_24>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_23>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_22>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_21>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_20>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_19>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_18>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_17>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_16>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_15>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_14>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_13>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_12>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_11>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_10>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_9>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_8>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_7>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_6>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_5>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_4>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_3>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_2>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_1>. Found 2-bit shift register for signal <inter_slave1/write_ch/wdatam2_2d_0>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave1/write_ch/awaddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_31>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_30>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_29>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_28>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_27>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_26>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_25>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_24>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_23>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_22>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_21>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_20>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_19>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_18>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_17>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_16>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_15>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_14>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_13>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_12>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_11>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_10>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_9>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_8>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_7>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_6>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_5>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_4>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_3>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_2>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_1>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam1_2d_0>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_31>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_30>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_29>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_28>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_27>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_26>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_25>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_24>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_23>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_22>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_21>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_20>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_19>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_18>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_17>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_16>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_15>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_14>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_13>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_12>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_11>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_10>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_9>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_8>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_7>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_6>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_5>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_4>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_3>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_2>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_1>. Found 2-bit shift register for signal <inter_slave7/write_ch/wdatam2_2d_0>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave7/write_ch/awaddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_31>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_30>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_29>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_28>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_27>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_26>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_25>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_24>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_23>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_22>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_21>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_20>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_19>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_18>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_17>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_16>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_15>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_14>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_13>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_12>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_11>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_10>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_9>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_8>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_7>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_6>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_5>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_4>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_3>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_2>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_1>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam1_2d_0>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_31>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_30>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_29>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_28>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_27>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_26>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_25>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_24>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_23>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_22>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_21>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_20>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_19>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_18>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_17>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_16>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_15>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_14>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_13>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_12>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_11>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_10>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_9>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_8>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_7>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_6>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_5>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_4>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_3>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_2>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_1>. Found 2-bit shift register for signal <inter_slave8/write_ch/wdatam2_2d_0>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave8/write_ch/awaddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_31>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_30>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_29>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_28>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_27>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_26>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_25>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_24>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_23>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_22>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_21>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_20>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_19>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_18>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_17>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_16>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_15>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_14>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_13>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_12>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_11>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_10>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_9>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_8>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_7>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_6>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_5>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_4>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_3>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_2>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_1>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam1_2d_0>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm1_2d_23>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_31>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_30>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_29>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_28>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_27>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_26>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_25>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_24>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_23>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_22>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_21>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_20>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_19>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_18>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_17>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_16>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_15>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_14>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_13>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_12>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_11>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_10>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_9>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_8>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_7>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_6>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_5>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_4>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_3>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_2>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_1>. Found 2-bit shift register for signal <inter_slave41/write_ch/wdatam2_2d_0>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm2_2d_23>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave41/write_ch/awaddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave0/read_ch/araddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_31>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_30>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_29>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_28>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_27>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_26>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_25>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_24>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_23>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_22>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_21>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_20>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_19>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_18>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_17>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_16>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_15>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_14>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_13>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_12>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_11>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_10>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_9>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_8>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_7>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_6>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_5>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_4>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_3>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_2>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_1>. Found 2-bit shift register for signal <inter_slave0/read_ch/rdatas_2d_0>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave1/read_ch/araddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_31>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_30>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_29>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_28>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_27>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_26>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_25>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_24>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_23>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_22>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_21>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_20>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_19>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_18>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_17>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_16>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_15>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_14>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_13>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_12>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_11>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_10>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_9>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_8>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_7>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_6>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_5>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_4>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_3>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_2>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_1>. Found 2-bit shift register for signal <inter_slave1/read_ch/rdatas_2d_0>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave7/read_ch/araddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_31>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_30>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_29>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_28>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_27>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_26>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_25>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_24>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_23>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_22>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_21>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_20>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_19>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_18>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_17>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_16>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_15>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_14>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_13>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_12>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_11>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_10>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_9>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_8>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_7>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_6>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_5>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_4>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_3>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_2>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_1>. Found 2-bit shift register for signal <inter_slave7/read_ch/rdatas_2d_0>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_22>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_21>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_20>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_19>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_18>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_17>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_16>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_15>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_14>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_13>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_12>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_11>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_10>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_9>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_8>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_7>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_6>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_22>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_21>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_20>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_19>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_18>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_17>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_16>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_15>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_14>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_13>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_12>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_11>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_10>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_9>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_8>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_7>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_6>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave8/read_ch/araddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_31>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_30>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_29>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_28>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_27>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_26>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_25>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_24>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_23>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_22>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_21>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_20>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_19>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_18>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_17>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_16>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_15>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_14>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_13>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_12>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_11>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_10>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_9>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_8>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_7>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_6>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_5>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_4>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_3>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_2>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_1>. Found 2-bit shift register for signal <inter_slave8/read_ch/rdatas_2d_0>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm1_2d_23>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm1_2d_5>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm1_2d_4>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm1_2d_3>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm1_2d_2>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm2_2d_23>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm2_2d_5>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm2_2d_4>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm2_2d_3>. Found 2-bit shift register for signal <inter_slave41/read_ch/araddrm2_2d_2>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_31>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_30>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_29>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_28>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_27>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_26>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_25>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_24>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_23>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_22>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_21>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_20>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_19>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_18>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_17>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_16>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_15>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_14>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_13>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_12>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_11>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_10>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_9>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_8>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_7>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_6>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_5>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_4>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_3>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_2>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_1>. Found 2-bit shift register for signal <inter_slave41/read_ch/rdatas_2d_0>. Unit <SNK_OU> processed.
========================================================================= Final Register Report
Macro Statistics # Registers : 3668 Flip-Flops : 3668 # Shift Registers : 984 2-bit shift register : 836 5-bit shift register : 12 7-bit shift register : 132 8-bit shift register : 4
========================================================================= WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_614> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_613> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_612> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_608> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_607> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch <M1_BUS/XLXI_606> has a constant value of 0 in block <SNK_OU>. This FF/Latch will be trimmed during the optimization process.
========================================================================= * Partition Report * =========================================================================
Partition Implementation Status -------------------------------
No Partitions were found in this design.
-------------------------------
========================================================================= * Design Summary * =========================================================================
Top Level Output File Name : SNK_OU.ngc
Primitive and Black Box Usage: ------------------------------ # BELS : 3883 # AND2 : 1 # AND2B1 : 3 # CARRY4 : 8 # GND : 9 # INV : 69 # LUT1 : 34 # LUT2 : 284 # LUT3 : 839 # LUT4 : 559 # LUT5 : 424 # LUT6 : 1258 # LUT6_2 : 33 # MULT_AND : 48 # MUXCY : 150 # MUXF7 : 3 # VCC : 1 # XOR2 : 1 # XORCY : 159 # FlipFlops/Latches : 4816 # FD : 826 # FDE : 2903 # FDR : 171 # FDRE : 722 # FDS : 19 # FDSE : 11 # ODDR : 164 # RAMS : 3 # RAMB36E1 : 3 # Shift Registers : 988 # SRL16 : 4 # SRLC16E : 984 # Clock Buffers : 4 # BUFG : 4 # IO Buffers : 322 # IBUF : 80 # IBUFDS : 10 # IBUFG : 1 # IOBUF : 33 # IOBUFDS : 1 # OBUF : 186 # OBUFDS : 11 # Others : 313 # BUFIODQS : 8 # IDELAYCTRL : 4 # IODELAYE1 : 236 # ISERDESE1 : 64 # MMCM_ADV : 1
Device utilization summary: ---------------------------
Selected Device : 6vlx195tff1156-1
Slice Logic Utilization: Number of Slice Registers: 4816 out of 249600 1% Number of Slice LUTs: 4488 out of 124800 3% Number used as Logic: 3500 out of 124800 2% Number used as Memory: 988 out of 48640 2% Number used as SRL: 988
Slice Logic Distribution: Number of LUT Flip Flop pairs used: 6227 Number with an unused Flip Flop: 1411 out of 6227 22% Number with an unused LUT: 1739 out of 6227 27% Number of fully used LUT-FF pairs: 3077 out of 6227 49% Number of unique control sets: 181
IO Utilization: Number of IOs: 440 Number of bonded IOBs: 344 out of 600 57%
Specific Feature Utilization: Number of Block RAM/FIFO: 3 out of 344 0% Number using Block RAM only: 3 Number of BUFG/BUFGCTRLs: 4 out of 32 12%
--------------------------- Partition Resource Summary: ---------------------------
No Partitions were found in this design.
---------------------------
========================================================================= Timing Report
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE.
Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ CLKEXT | MMCM_ADV:CLKOUT0 | 5659 | CLKEXT | MMCM_ADV:CLKOUT1 | 148 | -----------------------------------+------------------------+-------+
Asynchronous Control Signals Information: ---------------------------------------- No asynchronous control signals found in this design
Timing Summary: --------------- Speed Grade: -1
Minimum period: 31.023ns (Maximum Frequency: 32.234MHz) Minimum input arrival time before clock: 1.961ns Maximum output required time after clock: 2.479ns Maximum combinational path delay: 0.890ns
Timing Details: --------------- All values displayed in nanoseconds (ns)
========================================================================= Timing constraint: Default period analysis for Clock 'CLKEXT' Clock period: 31.023ns (frequency: 32.234MHz) Total number of paths / destination ports: 61436 / 10799 ------------------------------------------------------------------------- Delay: 7.756ns (Levels of Logic = 12) Source: M1_BUS/BU_BUS/x3 (FF) Destination: M1_BUS/XLXI_84_31 (FF) Source Clock: CLKEXT rising 4.0X Destination Clock: CLKEXT rising 4.0X
Data Path: M1_BUS/BU_BUS/x3 to M1_BUS/XLXI_84_31 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR:C->Q 35 0.375 0.931 M1_BUS/BU_BUS/x3 (M1_BUS/BU_BUS/x3) AND2:I0->O 32 0.068 0.570 M1_BUS/ALU/XLXI_873 (M1_BUS/ALU/XLXN_17) begin scope: 'M1_BUS/ALU/XLXI_872_3:S0' LUT3:I2->O 1 0.068 0.399 Mmux_O11 (O) end scope: 'M1_BUS/ALU/XLXI_872_3:O' LUT6_2:I0->O6 1 0.447 0.399 M1_BUS/ALU/XLXI_893_3 (M1_BUS/ALU/O6<3>) CARRY4:S3->CO3 1 0.389 0.399 M1_BUS/ALU/XLXI_1 (M1_BUS/ALU/n0019<3>) CARRY4:CI->CO3 1 0.104 0.399 M1_BUS/ALU/XLXI_895 (M1_BUS/ALU/n0018<3>) CARRY4:CI->CO3 1 0.104 0.399 M1_BUS/ALU/XLXI_897 (M1_BUS/ALU/n0017<3>) CARRY4:CI->CO3 1 0.104 0.399 M1_BUS/ALU/XLXI_899 (M1_BUS/ALU/n0016<3>) CARRY4:CI->CO3 1 0.104 0.399 M1_BUS/ALU/XLXI_905 (M1_BUS/ALU/n0015<3>) CARRY4:CI->CO3 1 0.104 0.399 M1_BUS/ALU/XLXI_911 (M1_BUS/ALU/n0014<3>) CARRY4:CI->CO3 1 0.104 0.399 M1_BUS/ALU/XLXI_915 (M1_BUS/ALU/n0013<3>) CARRY4:CI->O3 1 0.283 0.399 M1_BUS/ALU/XLXI_917 (M1_BUS/XLXN_381<31>) FDRE:D 0.011 M1_BUS/XLXI_84_31 ---------------------------------------- Total 7.756ns (2.265ns logic, 5.491ns route) (29.2% logic, 70.8% route)
========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'CLKEXT' Total number of paths / destination ports: 1014 / 1009 ------------------------------------------------------------------------- Offset: 1.961ns (Levels of Logic = 3) Source: RESET (PAD) Destination: K_SPI_OU1/KSPI_SPI/s_RG_loaded (FF) Destination Clock: CLKEXT rising 4.0X
Data Path: RESET to K_SPI_OU1/KSPI_SPI/s_RG_loaded Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 566 0.003 1.036 RESET_IBUF (M2_ETH/ARESET_inv) LUT6:I0->O 1 0.068 0.775 K_SPI_OU1/KSPI_SPI/s_RG_loaded_rstpot (K_SPI_OU1/KSPI_SPI/s_RG_loaded_rstpot) LUT5:I0->O 1 0.068 0.000 K_SPI_OU1/KSPI_SPI/s_RG_loaded_rstpot1 (K_SPI_OU1/KSPI_SPI/s_RG_loaded_rstpot1) FD:D 0.011 K_SPI_OU1/KSPI_SPI/s_RG_loaded ---------------------------------------- Total 1.961ns (0.150ns logic, 1.811ns route) (7.6% logic, 92.4% route)
========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'CLKEXT' Total number of paths / destination ports: 275 / 197 ------------------------------------------------------------------------- Offset: 2.479ns (Levels of Logic = 3) Source: K_SPI_OU1/KSPI_SPI/P_in (FF) Destination: CSDDS<3> (PAD) Source Clock: CLKEXT rising 4.0X
Data Path: K_SPI_OU1/KSPI_SPI/P_in to CSDDS<3> Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR:C->Q 26 0.375 0.732 K_SPI_OU1/KSPI_SPI/P_in (K_SPI_OU1/KSPI_SPI/P_in) LUT4:I1->O 10 0.068 0.834 K_SPI_OU1/KSPI_SPI/start_sclk_start_sclk_OR_214_o1 (K_SPI_OU1/KSPI_SPI/start_sclk_start_sclk_OR_214_o) LUT5:I0->O 1 0.068 0.399 K_SPI_OU1/KSPI_SPI/CS_out<0>1 (CS_SPI<0>) OBUF:I->O 0.003 CSFP_OBUF (CSFP) ---------------------------------------- Total 2.479ns (0.514ns logic, 1.965ns route) (20.7% logic, 79.3% route)
========================================================================= Timing constraint: Default path analysis Total number of paths / destination ports: 486 / 466 ------------------------------------------------------------------------- Delay: 0.890ns (Levels of Logic = 3) Source: N_NUM (PAD) Destination: TPN (PAD)
Data Path: N_NUM to TPN Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 1 0.003 0.399 N_NUM_IBUF (N_NUM_IBUF) INV:I->O 1 0.086 0.399 B_LVDS/T_INOUTn1_INV_0 (B_LVDS/T_INOUTn) IOBUFDS:T->IOB 0 0.003 0.000 B_LVDS/INOUT_LVDS_TP (TPN) ---------------------------------------- Total 0.890ns (0.092ns logic, 0.798ns route) (10.3% logic, 89.7% route)
=========================================================================
Cross Clock Domains Report: --------------------------
Clock to Setup on destination clock CLKEXT ---------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ CLKEXT | 7.756| | | | ---------------+---------+---------+---------+---------+
=========================================================================
Total REAL time to Xst completion: 48.00 secs Total CPU time to Xst completion: 45.36 secs -->
Total memory usage is 185712 kilobytes
Number of errors : 0 ( 0 filtered) Number of warnings : 2756 ( 0 filtered) Number of infos : 91 ( 0 filtered) [/codebox]
Сообщение отредактировал GAYVER - Apr 3 2013, 13:11
|
|
|
|
Сообщений в этой теме
GAYVER как отловить в каком месте ставится latch? Apr 3 2013, 11:04 andrew_b Логи синтезатора читать, а не только финальный отч... Apr 3 2013, 11:31 iosifk Цитата(GAYVER @ Apr 3 2013, 15:04) вопрос... Apr 3 2013, 11:36 GAYVER второй день курю логи. в отчете просматривал все п... Apr 3 2013, 11:42 alexadmin Цитата(GAYVER @ Apr 3 2013, 15:42) второй... Apr 3 2013, 11:43  GAYVER Цитата(alexadmin @ Apr 3 2013, 15:43) Про... Apr 3 2013, 11:46   Maverick Цитата(GAYVER @ Apr 3 2013, 14:46) в прое... Apr 3 2013, 12:01   alexadmin Цитата(GAYVER @ Apr 3 2013, 15:46) в прое... Apr 3 2013, 13:07    GAYVER Цитата(alexadmin @ Apr 3 2013, 17:07) А, ... Apr 3 2013, 13:14     Maverick Цитата(GAYVER @ Apr 3 2013, 16:14) и куск... Apr 3 2013, 13:26      GAYVER Цитата(Maverick @ Apr 3 2013, 17:26) попр... Apr 3 2013, 13:41     yes Цитата(GAYVER @ Apr 3 2013, 17:14) после ... Apr 3 2013, 14:27      GAYVER Цитата(yes @ Apr 3 2013, 18:27) ну это вр... Apr 4 2013, 07:50 iosifk Цитата(GAYVER @ Apr 3 2013, 15:42) . прос... Apr 3 2013, 11:58 GAYVER RE: как отловить в каком месте ставится latch? Apr 3 2013, 13:06 Maverick там приведены названия сигналов, для которых синте... Apr 3 2013, 13:11 XVR Судя по логам latch'а там нет. Посмотрите в ga... Apr 4 2013, 07:40 GAYVER пардоньте, не дцм.
The outputs from the MMCM are ... Apr 4 2013, 09:59
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|