Синхронный сброс, по переднему фронту тактового сигнала. Переделал на неблокирующие все равно задержка -75ns.
Код
always@(posedge pll1clck3)
begin
if(divpllclck < 2)begin
divpllclck <= divpllclck +2'd1;
end else begin
divpllclck <= 2'd0;
clckdive = ~clckdive;
end
end
//--------------------------------------------------------------------------//
TimeQuest сгенерировал файл sdc там этот сигнал указан как
Цитата
create_clock -name {clckdive} -period 1.000 -waveform { 0.000 0.500 } [get_registers {clckdive}]
Он происходит от клока который выходит из pll
Цитата
create_generated_clock -name {SystemPll1|altpll_component|auto_generated|pll1|clk[3]} -source [get_pins {SystemPll1|altpll_component|auto_generated|pll1|inclk[0]}] -duty_cycle 50.000 -multiply_by 36 -divide_by 5 -phase 135.000 -master_clock {CLCKIN50Mhz} [get_pins {SystemPll1|altpll_component|auto_generated|pll1|clk[3]}]
Это правильно, он не должен быть create_generated_clock?
Откуда берутся такие большие задержки ?