to aBoomestКак правильно сказали выше - используйте полученные "тактовые сигналы" на 40 кГц и 1 кГц как сигналы "разрешения тактирования" частоты 50 МГц.
В триггере это порт CE (clock enable).
В итоге получите работоспособную схему на 50МГц, но защелкивание будет происходить с нужным периодом.
Для VHDL один из примеров:
Код
pr_fd: process(clk, reset) is
begin
if reset = '0' then
q <= x"00";
elsif rising_edge(clk) then
if ce = '1' then -- это и есть строб разрешения
q <= d;
else null;
end if;
end if;
end process;
Второй пример - это взять FDE триггер из библиотеки UNISIM.
Там есть вход разрешения CE.
p.s. заметил, что старожилы любят издеваться, хоть и дают ответы начинающим. вспомните себя, сами тоже когда-то такими были
Сообщение отредактировал sallador - Jun 4 2015, 10:56