Попробовал дальше использовать ту саму кракозябру которая делается по ncprotect
Файлик обзывается nand2.vp (типа verilog protected)
сделал test.v
CODE
Код
`timescale 1ns / 1ps
module test;
// Test bench to test nand
reg A, B; wire F;
my_NAND test_my_nand(A, B, F); // instantiate my_NAND.
initial
begin
// apply the stimulus, test data
A = 1'b0; B = 1'b0;
#100 A = 1'b1; // delay one simulation cycle, then change A=>1.
#100 B = 1'b1;
#100 A = 1'b0;
end
initial #500 $finish;
begin // setup monitoring
//$monitor("Time=%0d a=%b b=%b out1=%b", $time, A, B, F);
//#500 $finish;
end
endmodule
И батничек для запуска симуляции
CODE
#!/bin/sh
ncverilog ./test.v \
./nand2.vp \
-top test \
-access +rw \
-timescale 1ns/1ns \
-gui
Работает.
Точно также похожим образом криптуются verilog-a и spice нетлисты.
Димыч кокретика решения определяется деталями вашей ситуации.
Там еще вроде бы есть специальные заточки, под то чтобы генерить некии лиц фалы и поставлять IP c лицензиями которые декриптуют IP.
Но это надо глубже доки копать.
Странно что вы этим интересуютесь, хотя вроде как с той стороны которой нежелательна какая либо зашифровка.