Цитата(sazh @ Dec 10 2006, 18:31)

Если можно обойтись без петли обратной связи, лучше обойтись.
library ieee;
use ieee.std_logic_1164.all;
entity puls is
port(
clk0 : in std_logic;
D1 : in std_logic;
enable_V_out : out std_logic);
end puls;
architecture archi of puls is
signal shift_reg : std_logic_vector(3 downto 0);
begin
shift:process(clk0)
begin
if rising_edge(clk0) then
shift_reg <= shift_reg(2 downto 0) & D1;
end if;
end process;
enable_V_out <= not shift_reg(3) and shift_reg(0);
end archi;
Огромное спасибо за код, но вопрос: чем так плоха обратная связь??