реклама на сайте
подробности

 
 
> Учусь работать с памятью. (VHDL), вопрос новичка
asya
сообщение May 15 2005, 19:01
Сообщение #1


Участник
*

Группа: Свой
Сообщений: 43
Регистрация: 4-02-05
Пользователь №: 2 412



В общем пытаюсь записать че-нить в память и прочитать. Для этого по двунаправленной шине данных надо гонять эти данны в две стороны. и соответственно когда не надо источник данных отключать. Как? smile.gif
написал вот такое:
Код
entity memory_t is
   Port ( switch : in std_logic_vector(7 downto 0);
     button4 : in std_logic;
     data : inout std_logic_vector(15 downto 0);
    address : out std_logic_vector(17 downto 0);
    led,char : out std_logic_vector(7 downto 0);
    ce,ub,lb,we,oe : inout std_logic
 
 );
end memory_t;

architecture Behavioral of memory_t is

begin
    ce<='0';
    ub<='1'; lb<='1';

    led<=(ce,ub,lb,we,oe,button4,'0','0');

    address(17 downto 8)<="0000000000";
    address(7 downto 0)<=switch;
    char<=data(7 downto 0);

    process(button4)
    begin
    if button4='1' then
 data(7 downto 0)<="01010101";
 we<='0'; oe<='1';
    else
   data(7 downto 0)<="ZZZZZZZZ";
 we<='1'; oe<='0';
    end if;
    end process;

end Behavioral;

Работает не так как думал. А если точнее - как будто у памяти запоминание не срабатывает. smile.gif Т.е. когда жму кнопку - получаю отображение 01010101. Как только отпускаю - все единицы. Вообще не уверен с этим Z...
Там есть более ранние посты. Но там про моделирование спрашивается. А меня синтез интересует. Т.е. я на char смотрю постоянно что на тех линиях происходит. И когда надо записать - записываю, а когда не надо - перевожу порт в Z.
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
kas
сообщение May 17 2005, 11:46
Сообщение #2


Частый гость
**

Группа: Свой
Сообщений: 77
Регистрация: 17-05-05
Из: Красноярск
Пользователь №: 5 108



Двунаправленная шина данных это только с наружи.
Внутри памяти шину данных надо разделить. Чтение отдельно, запись отдельно. А вот наружу уже можно вывести одну шину данных. Поставив на шину чтения данны тристабильные буфера.
Go to the top of the page
 
+Quote Post



Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 23rd August 2025 - 06:43
Рейтинг@Mail.ru


Страница сгенерированна за 0.01364 секунд с 7
ELECTRONIX ©2004-2016