|
Подавление Jitter-а и Wander-a (Retiming) на ПЛИС |
|
|
|
 |
Ответов
|
Jul 8 2005, 10:36
|
Участник

Группа: Свой
Сообщений: 60
Регистрация: 17-06-04
Из: Н.Новгород
Пользователь №: 42

|
Цитата(wolfman @ Jul 8 2005, 10:38) проблема с сигналами записи/чтения в FIFO они друг относительно друга плавают, вот как бы сделать так чтобы сигналы были синхронны? Задача решается при использовании более высокой частоты тактирования (Clk), лучше в 2^n больше, чем битрэйт потока (ClkIn). Необходимым является всегда(!) выполнение условия T(ClkIn)>T(Clk)+delta Код -- SyncRo library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
entity SyncRo is port( Clk : in std_logic; ClkIn : in std_logic; Reset : in std_logic; D : in std_logic; Q : out std_logic; E : out std_logic ); end SyncRo;
architecture default of SyncRo is
signal r1, r2, dd : std_logic;
begin
ClkInProc: process (Reset, ClkIn) begin if(Reset='1') then r1<='0'; dd<='0'; elsif(r2='1') then r1<='0'; elsif(ClkIn = '1' and ClkIn'event) then r1<='1'; dd<=D; end if; end process;
ClkProc: process (Reset, Clk) begin if(Reset='1') then r2<='0'; elsif(Clk = '1' and Clk'event) then r2<=r1; end if; end process;
Q<=dd; E<=r2;
end default;
|
|
|
|
Сообщений в этой теме
wolfman Подавление Jitter-а и Wander-a (Retiming) на ПЛИС Jul 5 2005, 06:04 cdg Возьмите описание lxt332 на Intel. Jul 7 2005, 09:13 Builder Если на ПЛИС, то чем не устраивают стандартные биб... Jul 7 2005, 10:34 cdg Цитата(Builder @ Jul 7 2005, 13:34)Если на ПЛ... Jul 8 2005, 06:01  wolfman Цитата(Танцующий @ Jul 8 2005, 20:06)
Не мог ... Aug 4 2005, 05:48 Genn Цитата(wolfman @ Jul 5 2005, 09:04)Не обходим... Jul 8 2005, 18:16 wolfman Гм, я не очень силен верилоге, что нужно в исходни... Jul 11 2005, 04:46 Wh`te Сначала быстрой фапч восстанавливаем тактовую из п... Jul 11 2005, 04:59 wolfman Цитата(Wh`te @ Jul 11 2005, 14:29)Сначала... Jul 11 2005, 12:06  cdg Так и скажите, научите делать FIFO, если с языкам... Jul 12 2005, 06:19   wolfman Цитата(cdg @ Jul 12 2005, 15:49)Так и скажите... Jul 12 2005, 20:02    cdg Цитата(wolfman @ Jul 12 2005, 23:02)Дело в то... Jul 13 2005, 06:38     wolfman Цитата(cdg @ Jul 13 2005, 16:08)Где эти импул... Jul 17 2005, 12:31 wolfman Спасибо всем откликнувшимся, подавитель джитера я ... May 23 2006, 12:45 maegg Поздравляю!
Теперь осталось это все проверить ... May 23 2006, 13:16  wolfman Цитата(maegg @ May 23 2006, 22:46) Поздра... May 23 2006, 17:05
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|