2 Vetal
Цитата
White: добавьте следующие строчки между "архитектурой и begin" или в "секции entity после портов, перед закрытием entity"
attribute preserve: boolean;
attribute preserve of data_out: signal is true;
attribute preserve of data_strob: signal is true;
attribute preserve of synh_out: signal is true;
а что это если не секрет? что это должно дать?
2 des00
Цитата
Если это секция generic , то задайте лучше integer
а что это изменит ? в бинарном виде мне в данном случае удобнее записывать.
Цитата
лучше сделать
CODE
signal count_temp : std_logic_vector(9 downto 0); -- 0 to 2*10-1
signal count_end : std_logic;
т.к. все равно интеджер будет приведен к стд лоджику а на ваше ограничение 1020 синтезатор "забьет".
можно а можно просто указать нормальное ограничение - 1023, я пробывал и так и так разницы при чистом счетчике не было.
Цитата
я взял на себя смелость немного подправить ваш код и убрать лишнее
в вашем исправленом коде счетчик проскакивает лишний такт.
Цитата
В чем минус с точки зрения синтеза, вы как я понял делаете стейт машину на счетчке, хотя я не однократно уже вам говорил, что бы вы воспользовались перечисляемыми типами.
Объясните мне наконец в чем разница будет между тем и тем? а то я действительно не понимаю!
Цитата
Я тоже немного подправил, что усложняло восприятие кода
Ну моя времянка была уничноженна полностью

Но в принципе идея понятна, единственное что не понятно так это то что присваивать сигналу значение дважды неправильно с моей точки зрения.
Цитата
ИМХО косяк здесь в том, как раз в состоянии 4, т.к. переменная, в отличие от сигнала изменяться сразу же, а не по eventу, что ИМХО усложняет логику формирования сигнала возбуждения тригеров data_out
data_out <= temp2 & CONV_STD_LOGIC_VECTOR(temp,24);
, тоже самое касаеться переменной num
да вот это похоже на правду надо будет попробывать покрутить
Цитата
ИМХО на вашем месте я бы еще раз пересмотрел функционирование вашего девайса уже с точки зрения не симуляции, а синтеза и читаемости. Тут есть над чем работать smile.gif
ну с этим трудно спорить

Работать всегда есть над чем тем более это первая моя работа с VHDL и ПЛИС. И как связан код и то что будет синтезированно я пока понимаю слабо.
Но в общем в вашей или моей

подправленной программе глюки остались теже
2
all 
Спасибо за потраченое на меня время.