Спасибо за ответы.
Цитата
Есть такой документ: XAPP467.pdf Using Embedded Multipliers in Spartan-3 FPGAs. Я думаю, что в нём Вы найдете ответы на все интересующие Вас вопросы, связанные с применением умножителей в Spartan-3.
Спасибо за доку, просто я почему то нашел только xapp467_vhdl, а там только примеры на vhdl, описалова нету.
Цитата
Я щетаю, что Кореген тут лишнее звено. Синтезатор сам способен собрать большой умножитель из более мелких.
Действительно, собрал небольшой проектик (код ниже)
Код
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mult is
Port ( op1 : in STD_LOGIC_VECTOR (21 downto 0);
op2 : in STD_LOGIC_VECTOR (21 downto 0);
res : out STD_LOGIC_VECTOR (43 downto 0));
end mult;
architecture Behavioral of mult is
begin
res <= op1*op2;
end Behavioral;
Вот результат моделирования:
[img]http://c:\modelir.JPG[/img]
Вот результат размещения на кристалле
[img]http://c:\floorplaner.jpg[/img]
Т.е. синтезатор действительно сам сообразил как чего соединить.
ой похоже здесь сначала нада картинку куда-нить залить. ну в общем там на кристалле он использовал 4?? умножителя и собрал какую-то логику сопряжения между ними, а результаты моделирования соотвтетствуют действительности, т.е. он действительно пермножил нормально например 4190000 и 325489 и получил 1363798910000.

о вот нашел как загрузить (((
Эскизы прикрепленных изображений