реклама на сайте
подробности

 
 
> Делитель на 10 на ПЛИС Altera
foxit
сообщение Jan 29 2009, 20:54
Сообщение #1


Участник
*

Группа: Участник
Сообщений: 63
Регистрация: 17-04-07
Пользователь №: 27 098



Доброго времени суток.

Есть высокостабильный сигнал 1 Мгц.
Из него необходимо получить 100 кГц, 10 кГц, 1 Гц, 0.1 Гц.

Я так понимаю нужно сделать делитель на 10.
Данный сабж нужно сделать на ПЛИС Altera max3000.

Помогите, в ПЛИСах не силен.
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
cms
сообщение Jan 29 2009, 22:46
Сообщение #2


Частый гость
**

Группа: Свой
Сообщений: 168
Регистрация: 6-07-04
Пользователь №: 266



Вот так дерево из 4 счетчиков может выглядеть на SV. Q7.2 укладывает этот делитель в 17 макрокелов EMP3032

Код
module div10
(
    input    logic         clk, rstn,
    output    logic [4:0]    clk_div
);

    logic [2:0] cntr[4:0];

    assign clk_div[0] = clk;

    genvar i;

    generate for (i=1;i<5;i++) begin : cntr_gens

        always_ff @(posedge clk_div[i-1], negedge rstn)
         if (!rstn) begin
            cntr[i]        <= '0;
            clk_div[i]     <= '0;            
         end else begin
               if ( cntr[i] == 4 ) begin
                            cntr[i] <= '0;
                clk_div[i] <= ~clk_div[i];
               end else
                cntr[i]++;
        end
    end endgenerate
    
endmodule
Go to the top of the page
 
+Quote Post



Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 23rd July 2025 - 19:55
Рейтинг@Mail.ru


Страница сгенерированна за 0.01372 секунд с 7
ELECTRONIX ©2004-2016