Непонятно почему Вы это сами сделать не можете - это как при изучении языка Си написать программу выводящую "Helo World!"
CODE
entity CMP
port
(
CLK : in std_logic;
Reset : in std_logic;
Data_In : in std_logic_vector(63 downto 0);
Data_Out : out std_logic_vector(63 downto 0);
Mask : in std_logic_vector(63 doento 0);
R : out std_logic -- результат сравнения - равен 1 когда Mask = Data_In
)
end entity CMP;
architecture RTL of CPM is
begin
Data_Out <= Data_In;
process(CLK)
begin
if Reset = '1' then
R <= '0';
elsif Data_In = Mask then
R <= '1';
else
R <= '0';
end if;
end process;
end RTL;
а если не синхронный, то
CODE
entity CMP
port
(
Data_In : in std_logic_vector(63 downto 0);
Data_Out : out std_logic_vector(63 downto 0);
Mask : in std_logic_vector(63 doento 0);
R : out std_logic -- результат сравнения - равен 1 когда Data_In = Mask
)
end entity CMP;
architecture RTL of CPM is
begin
Data_Out <= Data_In;
R <= '1' when Data_In = Mask else '0';
end RTL;