мой фильтр
CODE
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.VComponents.all;
entity filtr_16t_v_01 is
port (
f_clk : in std_logic;
f_en_low_fr_strob : in std_logic;
input_nf : in std_logic;
output_f : out std_logic
);
end entity filtr_16t_v_01;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of filtr_16t_v_01 is
signal flag : STD_LOGIC;
signal cnt : STD_LOGIC_VECTOR (0 to 5);
begin
filtr: process( f_clk) is
begin
if (f_clk = '1' and f_clk'event) and f_en_low_fr_strob = '1' then
if input_nf = flag then
if cnt(0) = '1'
then
output_f <= flag;
else
cnt <= cnt + 1;
end if;
else
cnt <= (others => '0');
flag <= input_nf;
end if;
end if;
end process filtr;
end IMP;
Причина редактирования: Оформление цитаты исходника.