реклама на сайте
подробности

 
 
> Входной порт на тактовый сигнал синхронного элемента.
Mad_max
сообщение Oct 15 2009, 07:10
Сообщение #1


Местный
***

Группа: Свой
Сообщений: 377
Регистрация: 23-12-06
Из: Зеленоград
Пользователь №: 23 811



Доброго времени суток!

Такой вот вопрос.
Необходимо на тактовый вход триггера завести цепь от вывода плис.
ISE, что логично, понимает эту ситуацию, что на этот вывод приходит тактовый сигнал.
Проводит его через голобальный буфер а потом выдает ошибку, что этот вывод P пара диф
входа и мол на такой вывод я не могу подавать тактовый сигнал.

Как ISE сообщить, что это ни какой не тактовый сигнал а просто I/O?

Спасибо!
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
Shtirlits
сообщение Oct 16 2009, 03:00
Сообщение #2


Знающий
****

Группа: Свой
Сообщений: 845
Регистрация: 18-10-04
Из: Pereslavl-Zalessky, Russian Federation
Пользователь №: 905



Хочу поправить себя.
Ручное формирование входного буфера не мешает синтезатору автоматически добавить глобальный буфер.
Так как подавать клок по локальным проводам бывает полезно, такая возможность обычно есть.
Ключевое знание, которое извлекается из XST User's guide или аналогичного документа для другого синтезатора - атрибут buffer_type.
Пример ниже проверил для Virtex-IIpro и Virtex-5.

Код
library IEEE;
use IEEE.std_logic_1164.all;

entity clk_buf is
    port (
        local_clk    : in std_logic;
        byte_in        : in std_logic_vector(7 downto 0);
        bute_out    : out std_logic_vector(7 downto 0)
    );
end clk_buf;

architecture xilinx of clk_buf is
    
    attribute buffer_type: string;
    attribute buffer_type of local_clk: signal is    "ibuf";

begin
    
    process(local_clk)
        begin
            if rising_edge(local_clk) then
                bute_out <= byte_in;
            end if;
        end process;
    
end xilinx;
Go to the top of the page
 
+Quote Post



Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 22nd July 2025 - 20:20
Рейтинг@Mail.ru


Страница сгенерированна за 0.01352 секунд с 7
ELECTRONIX ©2004-2016