реклама на сайте
подробности

 
 
> генератор ПСП (m-последовательности) на XC9536
8-)
сообщение Dec 15 2005, 21:29
Сообщение #1





Группа: Новичок
Сообщений: 4
Регистрация: 7-12-05
Пользователь №: 11 948



Доброе время суток. Помогите чайнику решить проблему, pls.
Никак не могу понять в чём проблема. Провожу моделирование в modelsim - всё работает. Прошиваю XC9536 - не работает. Вот код на vhdl:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;

entity counter is
Port ( CLK : in std_logic;
DOUT : out std_logic_vector(7 downto 0));
end counter;

architecture Behavioral of counter is
signal regA: std_logic_vector(8 downto 0);
signal flag: std_logic;
begin

process (CLK)
begin

if (CLK'event and CLK='1') then

if flag = '1' then
regA <= (regA(4) xor regA(0)) & regA(8 downto 1);

DOUT(0) <= regA(0);
DOUT(1) <= regA(1);
DOUT(2) <= regA(2);
DOUT(3) <= regA(3);
DOUT(4) <= regA(4);
DOUT(5) <= regA(5);
DOUT(6) <= regA(8);
DOUT(7) <= regA(7);
else
flag <= '1';
regA(0) <= '1';
regA(1) <= '1';
regA(2) <= '1';
regA(3) <= '1';
regA(4) <= '1';
regA(5) <= '1';
regA(6) <= '1';
regA(7) <= '1';
regA(8) <= '1';
end if;
end if;
end process;

end Behavioral;

После прошивания микросхемы все сигналы DOUT имеют низкий уровень.
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
:-)
сообщение Dec 16 2005, 09:51
Сообщение #2


Местный
***

Группа: Свой
Сообщений: 221
Регистрация: 23-10-05
Из: Мск
Пользователь №: 10 006



to Laptop: Тогда вопрос такой: Почему если убрать строчку

regA <= (regA(4) xor regA(0)) & regA(8 downto 1);

то регистр regA инициализируется (regA="111111111") ?

to Victor®: так строчка

regA <= (regA(4) xor regA(0)) & regA(8 downto 1);

и выполняет сдвиг всех значений регистра. Таким образом определяются Shift Registers в Code Templates (WebPack ISE 4.1).

P.S. Спасибо за ваши ответы.
Go to the top of the page
 
+Quote Post
Laptop
сообщение Dec 18 2005, 11:35
Сообщение #3


Частый гость
**

Группа: Свой
Сообщений: 142
Регистрация: 19-11-05
Пользователь №: 11 103



Цитата(:-) @ Dec 16 2005, 12:51) *
to Laptop: Тогда вопрос такой: Почему если убрать строчку

regA <= (regA(4) xor regA(0)) & regA(8 downto 1);

то регистр regA инициализируется (regA="111111111") ?

Тут ведь все от синтезатора зависит, он может использовать разные элементы для синтеза различных конструкций. А разные элементы могут иметь различное начальное состояние. Тем более гарантии что начальное состояние по включении будет именно такое никто не даст. Если нужна гарантия, то ставь сброс по питанию, причем лучше с формирователем длительности, стандартные супервизоры есть со временем около 300 мс.
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- 8-)   генератор ПСП (m-последовательности) на XC9536   Dec 15 2005, 21:29
- - Laptop   вполне логично, у тебя ведь не определены значения...   Dec 15 2005, 22:49
- - sazh   Никакого ресета (в глобальном смысле) для работосп...   Dec 16 2005, 07:26
- - Victor®   Странный какой-то у Вас генератор M-последовательн...   Dec 16 2005, 09:23
- - vitus_strom   Каким софтом собираете проект, посмотрите к нему с...   Dec 16 2005, 09:41
|- - Victor®   Цитата(:-) @ Dec 16 2005, 13...   Dec 16 2005, 12:30
- - sazh   To Victor //И еще, совет - используйте отдельный с...   Dec 16 2005, 10:21
|- - Victor®   Цитата(sazh @ Dec 16 2005, 14:21) To Vict...   Dec 16 2005, 12:37
- - vitus_strom   Предлагаю модифицировать код следующим образом: p...   Dec 16 2005, 12:35
- - disel   Я когда-то делал универсальный генератор m-последо...   Dec 16 2005, 14:20
- - 8-)   Спасибо всем за ответы. Проблема, наконец, решилас...   Dec 16 2005, 19:41
- - evgeniy_s   Проверил Ваш код на Aldec'е - никаких проблем ...   Dec 17 2005, 18:07
- - maksya   На лицо явные признаки классического последователь...   Dec 17 2005, 18:48
- - sazh   Действительно, интересно. Обсудили Вашу проблему с...   Dec 17 2005, 19:38
- - 8-)   to evgeniy_s: Моделировал я действительно в ModelS...   Dec 17 2005, 20:13
- - 8-)   Пока писал ответ - sazh всё подробно объяснил. Зам...   Dec 17 2005, 20:47
- - Laptop   Кстати насчет inout, лучше завести отдельный сигна...   Dec 18 2005, 11:25


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 21st July 2025 - 00:19
Рейтинг@Mail.ru


Страница сгенерированна за 0.01385 секунд с 7
ELECTRONIX ©2004-2016