Добрый день, появились еще вопросы.
"Модуль" для загрузки программы Nios`а во внешнюю память сделал (пока процессор находится в сброшенном состоянии), теперь вот пытаюсь сделать модуль для SRAM (128K x 8) на Tristate bridge
Signals:
Interfaces:
Сам модуль:
Код
module new_component (
input wire clk, // clock.clk
input wire reset_n, // .reset_n
input wire [16:0] ats_s0_address, // s0.address
input wire ats_s0_read_n, // .read_n
inout wire [7:0] ats_s0_data, // .data
input wire ats_s0_write_n, // .write_n
output wire [16:0] HRW_Addr, // conduit_end.export
inout wire [7:0] HRW_Data, // .export
output wire HRW_nOE, // .export
output wire HRW_nWE // .export
);
assign HRW_Addr = ats_s0_address;
assign HRW_Data = ats_s0_data;
assign HRW_nOE = ats_s0_read_n;
assign HRW_nWE = ats_s0_write_n;
endmodule
Сам процессор получился с такими вх./вых. параметрами:
Цитата
module mySoC (
// 1) global signals:
clk_0,
new_component_0_s0_data,
reset_n,
// the_new_component_0
HRW_Addr_from_the_new_component_0,
HRW_Data_to_and_from_the_new_component_0,
HRW_nOE_from_the_new_component_0,
HRW_nWE_from_the_new_component_0,
// the_pio_0
out_port_from_the_pio_0,
// the_spi_0
MISO_to_the_spi_0,
MOSI_from_the_spi_0,
SCLK_from_the_spi_0,
SS_n_from_the_spi_0,
// the_spi_1
MISO_to_the_spi_1,
MOSI_from_the_spi_1,
SCLK_from_the_spi_1,
SS_n_from_the_spi_1
);
Вот не могу понять что это за
new_component_0_s0_data и для чего она здесь (как с ней работать)?
Да и правильно я вообще делаю, либо опять не так понял суть?