реклама на сайте
подробности

 
 
> Synopsys DC: enum + unique case
alexey111111
сообщение Jul 12 2011, 09:31
Сообщение #1





Группа: Новичок
Сообщений: 3
Регистрация: 26-07-10
Пользователь №: 58 608



Добрый день!
Помогите, пожалуйста, разобраться с проблемой. Описываю автомат. Использую при этом связку: перечисления + unique case.
Исходный код прилагается:

module simple_fsm
(
input clk, rst,
output logic y
);
typedef enum logic [1:0] {IDLE=2'b00, WORK=2'b01, DONE=2'b10} fsm_state;
fsm_state State, Next;
always_ff @(posedge clk)
if(rst)
State<=IDLE;
else
State<=Next;
always_comb
unique case (State)
IDLE: Next=WORK;
WORK: Next=DONE;
DONE: Next=IDLE;
endcase
always_comb
y=(State==DONE);
endmodule

Далее я пытаюсь загрузить этот файл в Synopsys DC. Использую пару команд:
analyze -format sverilog simple_fsm.sv
elaborate simple_fsm
И получаю следующие предупреждение:
Warning: ./mult.sv:17: Case statement marked unique does not cover all possible conditions. (VER-504)

Поэтому у меня возникает вопрос. Как DC анализирует unique case?
Ведь я использую перечисления, разве это не должно сказать DC, что кроме перечисленных значений, других не возникнет?
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
alexey111111
сообщение Jul 12 2011, 11:00
Сообщение #2





Группа: Новичок
Сообщений: 3
Регистрация: 26-07-10
Пользователь №: 58 608



То есть, если я правильно понял, DC этим предупреждением призывает еще раз проверить, так ли все задумывалось?
Go to the top of the page
 
+Quote Post



Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 23rd August 2025 - 22:48
Рейтинг@Mail.ru


Страница сгенерированна за 0.01335 секунд с 7
ELECTRONIX ©2004-2016