реклама на сайте
подробности

 
 
> NIOS для начинающих
AlexBel
сообщение Oct 12 2009, 17:47
Сообщение #1


Участник
*

Группа: Участник
Сообщений: 20
Регистрация: 12-10-09
Пользователь №: 52 894



Имею девборду Altera DE1 (Cyclone II 2C20). Хотел бы своими руками "пошшупать" NIOS. Где-нибудь можно найти что-то типа "курса для начинающих", желательно с использованием DE1? Чтобы и теория была и практика и доступные пониманию новичков примеры. Конечная цель - создание "железа" с SDRAM и видеоконтроллером и разработка софта под него.
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- AlexBel   NIOS для начинающих   Oct 12 2009, 17:47
- - Full41   Материала на русском языке мало, я бы даже сказал ...   Oct 12 2009, 18:00
|- - AlexBel   Цитата(Full41 @ Oct 12 2009, 22:00) Если ...   Oct 12 2009, 18:39
|- - sysel   Цитата(Full41 @ Oct 12 2009, 22:00) Если ...   Oct 13 2009, 05:47
- - Full41   У тебя проблемы с ящиком не чего не отправляется...   Oct 12 2009, 18:46
|- - AlexBel   Цитата(Full41 @ Oct 12 2009, 22:46) У теб...   Oct 12 2009, 19:22
|- - Full41   Цитата(AlexBel @ Oct 12 2009, 23:22) alex...   Oct 12 2009, 19:28
|- - AlexBel   Цитата(Full41 @ Oct 12 2009, 23:28) Все о...   Oct 12 2009, 20:09
- - iosifk   Цитата(AlexBel @ Oct 12 2009, 21:47) Имею...   Oct 13 2009, 04:50
- - Full41   Да нет нормальный сайт, там просто в кратце на рус...   Oct 13 2009, 06:01
- - Stewart Little   Цитата(AlexBel @ Oct 12 2009, 21:47) Имею...   Oct 13 2009, 13:01
|- - AlexBel   Цитата(Stewart Little @ Oct 13 2009, 17:0...   Oct 13 2009, 16:31
- - Kuzmi4   Может тему в шапку вынести , а то вопросы по ниосу...   Oct 13 2009, 18:13
|- - Full41   Цитата(Kuzmi4 @ Oct 13 2009, 22:13) Может...   Oct 14 2009, 05:20
|- - Omen_13   Сделано по просьбе трудящихся... С уважением, мод...   Oct 18 2009, 18:45
- - Reanimator++   AlexBel Леш, я запустил ниос пройдя "Nios II ...   Oct 27 2009, 11:28
|- - AlexBel   Reanimator++ Рома, спасибо, извини, что не отреаги...   Dec 12 2009, 18:05
- - Full41   Хотел узнать, можно ли использовать переферийные у...   Nov 17 2009, 13:28
- - Kuzmi4   2 Full41 - можно, только вам тогда нужно будет нап...   Nov 17 2009, 13:50
|- - Full41   Цитата(Kuzmi4 @ Nov 17 2009, 16:50) 2 Ful...   Nov 17 2009, 13:58
|- - Stewart Little   Цитата(Full41 @ Nov 17 2009, 16:58) То ес...   Nov 17 2009, 14:06
- - Full41   Все понял!! Буду пробовать!! Спаси...   Nov 17 2009, 14:13
- - Евгений Николаев   Уважаемые гуру, подскажите - как "объяснить...   Dec 9 2009, 17:21
|- - inco   Евгений Николаев В 9.1 в этом плане сильно всё пом...   Dec 9 2009, 19:59
- - Евгений Николаев   inco, спасибо! Сегодня попробую. Честно говор...   Dec 10 2009, 04:37
- - barabek   Подскажите. Есть система, картинка во вложении. Me...   Dec 20 2009, 02:30
|- - vadimuzzz   Цитата(barabek @ Dec 20 2009, 08:30) Если...   Dec 20 2009, 03:23
|- - barabek   Цитата(vadimuzzz @ Dec 20 2009, 14:23) по...   Dec 20 2009, 03:38
- - vadimuzzz   можно погонять контроллер на тестах, что генерит м...   Dec 20 2009, 06:42
|- - barabek   Цитата(vadimuzzz @ Dec 20 2009, 16:42) мо...   Dec 20 2009, 11:28
|- - barabek   Переделал тест. Теперь он пишет сперва 5 ячеек под...   Dec 21 2009, 08:48
- - Kuzmi4   2 barabek когда у меня возникают проблемы похожего...   Dec 21 2009, 08:57
|- - barabek   Kuzmi4 По поводу сигналтаба идея хорошая, но боюсь...   Dec 21 2009, 09:28
|- - vadimuzzz   Цитата(barabek @ Dec 21 2009, 15:28) А кт...   Dec 21 2009, 09:36
- - Kuzmi4   Разъясняю - пишем прогу для ниоса2, компилим, расп...   Dec 21 2009, 10:12
- - vadimuzzz   кстати, как-то упустили из виду. а какого рода сбо...   Dec 21 2009, 10:40
|- - barabek   Цитата(vadimuzzz @ Dec 21 2009, 20:40) кс...   Dec 22 2009, 03:58
- - vadimuzzz   ЦитатаА можно ли будет одновременно работать и в д...   Dec 22 2009, 07:00
|- - barabek   vadimuzzz и Kuzmi4, спасибо! Действительно пол...   Dec 23 2009, 13:42
- - barabek   Не знаю точно, сюда нужно постить, или в раздел gc...   Jan 24 2010, 07:28
- - vadimuzzz   посмотрите тут http://porn.quiteajolt.com/2007/11/...   Jan 25 2010, 01:39
- - Serg_Sm   Здравствуйте. Начинаю разбираться с ниосом, при эт...   Feb 10 2010, 10:07
|- - barabek   Цитата(Serg_Sm @ Feb 10 2010, 21:07) Доку...   Feb 11 2010, 05:43
- - Serg_Sm   Большое спасибо за ответ. Сейчас платы с разведенн...   Feb 11 2010, 06:53
- - S_Lee   Доброго времени суток. Я начал работать в SOPC Bui...   Feb 22 2010, 20:45
|- - barabek   Цитата(S_Lee @ Feb 23 2010, 06:45) Как пр...   Feb 23 2010, 02:36
|- - Kuzmi4   Цитата(S_Lee @ Feb 22 2010, 22:45) ...а т...   Feb 23 2010, 08:43
- - styuf   Здравствуйте. Я сделал проектик, с использование...   Mar 9 2010, 10:22
|- - vadimuzzz   Цитата(styuf @ Mar 9 2010, 16:22) Но при ...   Mar 9 2010, 10:44
- - styuf   Жалко, что плохо развит По порядку: - плата с...   Mar 12 2010, 09:23
|- - vadimuzzz   это spansion. сама микросхема, видимо, снята с про...   Mar 13 2010, 10:57
- - S_Lee   Кто-то может подсказать как можно создать блок, да...   Mar 14 2010, 14:37
|- - barabek   Цитата(S_Lee @ Mar 15 2010, 00:37) Кто-то...   Mar 15 2010, 01:03
- - S_Lee   как счетчик может дать 1КГц из 50МГц??? 16ти разр...   Mar 20 2010, 09:40
|- - des00   Цитата(S_Lee @ Mar 20 2010, 03:40) как сч...   Mar 20 2010, 09:56
|- - barabek   Цитата(S_Lee @ Mar 20 2010, 19:40) как сч...   Mar 21 2010, 22:07
- - styuf   Вечер добрый) Вопросы собственно такие: -Научи...   Mar 22 2010, 07:20
|- - vadimuzzz   Цитата(styuf @ Mar 22 2010, 13:20) - Чем ...   Mar 22 2010, 07:52
- - styuf   Цитатаво флеш-программере, пожалуй, ничем Эти пара...   Mar 22 2010, 08:10
|- - vadimuzzz   Цитата(styuf @ Mar 22 2010, 14:10) Судя п...   Mar 22 2010, 08:26
- - spectr   Только начал осваивать Nios, поэтому сразу начали ...   Apr 13 2010, 18:17
|- - barabek   Цитата(spectr @ Apr 14 2010, 05:32) Собст...   Apr 13 2010, 23:29
|- - vadimuzzz   ЦитатаТо есть, что получается при запуске программ...   Apr 14 2010, 00:37
- - spectr   Цитатапопробуйте в строке "Additional nios2-d...   Apr 15 2010, 06:33
|- - barabek    По второй ошибке. Вообще-то тут у уважаемого vadi...   Apr 15 2010, 06:49
|- - Аматер   Цитата(barabek @ Apr 15 2010, 11:04)  По ...   Apr 15 2010, 07:07
|- - vadimuzzz   да, это я неудачно скопипастил, правильный код так...   Apr 15 2010, 08:21
- - spectr   Все заработало! Ошибка была в том, что я испол...   Apr 15 2010, 09:09
- - spectr   Что-то аццкий Flash programmer (из IDE) орет на ме...   Apr 15 2010, 13:25
- - vadimuzzz   да, нужна лицензия   Apr 15 2010, 14:20
- - spectr   Я проверил в Quartus->Tools->License Setup ...   Apr 15 2010, 14:24
- - vadimuzzz   в логах компиляции поищите "Opencores-бла-бла...   Apr 15 2010, 15:29
- - spectr   Да, есть такое. Курю форум, понимаю что надо пофик...   Apr 15 2010, 15:33
- - vadimuzzz   способа 2 - буржуйский и народный ) если интересуе...   Apr 15 2010, 15:46
- - spectr   ilkz (at) yandex.ru   Apr 15 2010, 15:47
- - vadimuzzz   выслал   Apr 15 2010, 15:54
- - spectr   Все получил. Компиляция прошла без упоминаний о т...   Apr 15 2010, 18:13
- - spectr   Итак, все заработало как я и ожидал. Программка и ...   Apr 19 2010, 11:59
- - vadimuzzz   такое впечатление, что либо бинарник большой, либо...   Apr 19 2010, 12:10
- - spectr   Вот отчет компилятора IDE: CODE**** Build of confi...   Apr 19 2010, 12:49
|- - vadimuzzz   ЦитатаЕсли это важно: в свойствах системной библио...   Apr 19 2010, 14:21
|- - barabek    кроме всего вышесказанного предыдущими, при испол...   Apr 19 2010, 21:37
- - Sergey'F   Я немного не понял, что Вы делаете Когда при запу...   Apr 19 2010, 13:26
- - Porty   Добрый день, возникла проблема с средой Eclipse: ...   Apr 30 2010, 07:45
|- - vadimuzzz   Цитата(Porty @ Apr 30 2010, 14:45) Как ег...   Apr 30 2010, 07:49
- - Porty   спасибо, запустил, но почему во время отладки при ...   May 4 2010, 05:48
|- - vadimuzzz   Цитата(Porty @ May 4 2010, 12:48) спасибо...   May 4 2010, 12:47
- - Porty   спасибо, ещё вопрос: как освободить усб бластер за...   May 6 2010, 06:25
|- - vadimuzzz   Цитата(Porty @ May 6 2010, 13:25) как осв...   May 6 2010, 07:33
- - Porty   win7 64bit   May 6 2010, 08:01
|- - vadimuzzz   Цитата(Porty @ May 6 2010, 15:01) win7 не...   May 6 2010, 08:17
- - Porty   запустил один чип sdram памяти 256мегабит, 16 бит ...   May 7 2010, 07:14
- - Kuzmi4   2 Porty если у вас Cyclone II FPGA Starter Develop...   May 7 2010, 07:30
- - Porty   у меня своя плата, точнее набор. поспешили и сдела...   May 7 2010, 07:35
- - Kuzmi4   2 Porty Тогда, наверно, дорога вам ковыряйть альте...   May 7 2010, 07:37
- - Porty   хочу сделать согласно этому рисунку (т.е. все сигн...   May 7 2010, 14:10
- - vadimuzzz   а что мешает взять custom memory и скоммутировать ...   May 7 2010, 14:23
- - Porty   чтение понятно как можно скомутировать, а вот чтоб...   May 7 2010, 16:39
|- - vadimuzzz   Цитата(Porty @ May 7 2010, 23:39) чтение ...   May 8 2010, 05:42
- - vetal   Вы сигналы маскирования объединили или нет?   May 7 2010, 18:02
7 страниц V   1 2 3 > » 


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 23rd May 2024 - 06:21
Рейтинг@Mail.ru


Страница сгенерированна за 0.0148 секунд с 7
ELECTRONIX ©2004-2016