реклама на сайте
подробности

 
 
> Атрибуты в VHDL, Как описать атрибуты для VHDL Xilinx
DLR
сообщение May 30 2005, 07:53
Сообщение #1


Местный
***

Группа: Свой
Сообщений: 384
Регистрация: 15-03-05
Из: г. Москва
Пользователь №: 3 371



Как описать атрибут, чтобы FD был включенн в IOB,
Как правильно присвоить pin-ы шине?
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов (1 - 4)
makc
сообщение May 30 2005, 08:07
Сообщение #2


Гуру
******

Группа: Админы
Сообщений: 3 621
Регистрация: 18-10-04
Из: Москва
Пользователь №: 904



Цитата(DLR @ May 30 2005, 10:53)
Как описать атрибут, чтобы FD был включенн в IOB,
*


Объявляете атрибут:
attribute iob: string;

Далее, присваиваете значение атрибута для нужного элемента:

attribute iob of {component_name|entity_name|label_name}: {component|entity|label} is “(true|false|auto)”;

Цитата
Как правильно присвоить pin-ы шине?


Объявляете атрибут:
attribute loc: string;

Далее, присваиваете значение атрибута для нужного элемента:
attribute loc of {signal_name|label_name}: {signal|label} is "location";

Например,
Код
attribute loc of cmd: signal is "P73 P47 P46 P45 P44";
если cmd -
Код
cmd: in std_logic_vector(4 downto 0);


--------------------
BR, Makc
В недуге рождены, вскормлены тленом, подлежим распаду. (с) У.Фолкнер.
Go to the top of the page
 
+Quote Post
andrew_b
сообщение May 30 2005, 08:21
Сообщение #3


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Цитата(DLR @ May 30 2005, 11:53)
Как описать атрибут, чтобы FD был включенн в IOB,

Глобально для всех, или только для входов, или только для выходов --- соответствующим опциями xst (или другого синтезатора) и map.

Цитата
Как правильно присвоить pin-ы шине?

Задавать прямо в коде --- моветон. Для этого существует user constraints file (ucf). В .ucf:

NET aaa(0) LOC = A1;

Вид скобок определяется нужной опцией xst.
Go to the top of the page
 
+Quote Post
DLR
сообщение May 30 2005, 08:35
Сообщение #4


Местный
***

Группа: Свой
Сообщений: 384
Регистрация: 15-03-05
Из: г. Москва
Пользователь №: 3 371



Большое спасибо!
Go to the top of the page
 
+Quote Post
Genn
сообщение Jun 1 2005, 17:24
Сообщение #5


Участник
*

Группа: Свой
Сообщений: 48
Регистрация: 14-04-05
Пользователь №: 4 146



Цитата(DLR @ May 30 2005, 10:53)
Как описать атрибут, чтобы FD был включенн в IOB,
Как правильно присвоить pin-ы шине?
*


Вся информация по применяемым в проекте ограничениям (включая атрибуты в исходных текстах и составление UCF-файлов) приводится в документации: путь -> %Xilinx%\doc\usenglish\books\docs\cgd\cgd.pdf
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 27th July 2025 - 18:39
Рейтинг@Mail.ru


Страница сгенерированна за 0.01395 секунд с 7
ELECTRONIX ©2004-2016