реклама на сайте
подробности

 
 
> Вопросы по Eclipse, CDT, Zylin embedded CDT, предлагаю обсуждать тут, может потом FAQ выжмем
Сергей Борщ
сообщение Apr 4 2008, 10:43
Сообщение #1


Гуру
******

Группа: Модераторы
Сообщений: 8 455
Регистрация: 15-05-06
Из: Рига, Латвия
Пользователь №: 17 095



Чтобы не создавать по отдельной ветке на каждый мелкий вопрос, предлагаю обсуждать здесь всякие вопросы, касаемые работы в среде Eclipse. В крайнем случае можно будет при необходимости что-то "отпочковать" в отдельную ветку.

Вопрос первый - как в перспективе Debug расположить окно с переменными, регистрами и т.д. вдоль всего правого края экрана сверху вниз?

Вопрос второй - как заставить его показывать в окне регистров содержимое SPSR (для ARM), а также "затененных" регистров SP и LR (IAR их как-то показывает)?

Вопрос третий - как поставить точку останова на конкретный адрес не перезапуская отладку? Про break *addres в Debug dialog->commands->'Run' commands я знаю, но это требует перезапуска отладки. На строку в исходнике поставить можно, а если мне надо поставить на конкретную команду, причем не дожидаясь пока эта команда попадет в окно дизассемблера?

Вопрос четвертый - как его заставить показать в окне дизассемблера кусок с произвольного адреса или как "пролистать" дизассемблированный текст дальше вверх-вниз? Попутный вопрос - можно ли его заставить в этом окне показывать команды по порядку адресов - мне он часто показывает команды с пропусками или в причудливо перемешанном порядке.

Вопрос пятый - (ARM) можно ли как-то сбросить ядро не перезапуская сеанс отладки? Т.е. легким движением перевести его в ARM, SYSTEM, записать в PC 0 и остановить его на нулевом адресе.

Вопрос шестой - как его заставить запомнить, что в окне memory я хочу всегда видеть шестнадцатиричные цифры и не спрашивать об этом после каждого перезапуска отладки? При добавлении адреса в окно он этого дурацкого вопроса не задает.

Вопрос седьмой - где ставится галочка "открывать преспективу Debug при запуске отладки"? Оно спросило когда-то при создании не то проекта, не то воркспейса, я ответил неправильно а теперь не могу найти где это изменить.

Вопрос восьмой - работа с системой контроля версий. Что из каталога .metadata надо хранить в репозитории, а что создается само при старте среды? Хранить весь каталог не подходит - в нем после каждого старта среды создается куча новых файлов. Не хранить его тоже неудобно. Как я понял, в нем живут настройки Debug. Во всяком случае при переносе только проекта (без workspace) на другую машину настройки отладки пропадают (кто это придумал?? ведь в этих настройках указывается конкретный проект и выходной файл, т.е. эти настройки больше привязаны к конкретному проекту а не к workspace.)


--------------------
На любой вопрос даю любой ответ
"Write code that is guaranteed to work, not code that doesn’t seem to break" (C++ FAQ)
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- Сергей Борщ   Вопросы по Eclipse, CDT, Zylin embedded CDT   Apr 4 2008, 10:43
- - MrYuran   А у меня есть вопрос №0: (идиотский такой) как во...   Apr 4 2008, 10:53
|- - gotty   Цитата(MrYuran @ Apr 4 2008, 13:53) как в...   Apr 4 2008, 11:09
- - Непомнящий Евгений   Цитата(Сергей Борщ @ Apr 4 2008, 14:43) В...   Apr 4 2008, 11:14
|- - Сергей Борщ   Цитата(Непомнящий Евгений @ Apr 4 2008, 14...   Apr 4 2008, 11:48
- - AHTOXA   Цитата(Сергей Борщ @ Apr 4 2008, 16:43) В...   Apr 4 2008, 19:08
|- - Сергей Борщ   Цитата(AHTOXA @ Apr 4 2008, 22:08) Меню W...   Apr 7 2008, 10:11
- - COMA   Если ничего не попутал, в CDT появилась GDB Hardwa...   Apr 5 2008, 17:36
- - Непомнящий Евгений   Цитата(Сергей Борщ @ Apr 4 2008, 14:43) В...   Apr 7 2008, 10:24
|- - Сергей Борщ   Цитата(Непомнящий Евгений @ Apr 7 2008, 13...   Apr 7 2008, 12:29
- - MrYuran   Цитата(Непомнящий Евгений @ Apr 4 2008, 14...   Apr 14 2008, 09:11
|- - Непомнящий Евгений   Цитата(MrYuran @ Apr 14 2008, 13:11) Есть...   Apr 16 2008, 04:42
|- - gte   Поставил amontec-sdk4arm, нашел демо проект lpc237...   Apr 19 2008, 09:44
|- - Сергей Борщ   Цитата(gte @ Apr 19 2008, 12:44) Симуляци...   Apr 19 2008, 11:04
|- - amw   Цитата(Сергей Борщ @ Apr 19 2008, 14:04) ...   Apr 19 2008, 11:15
||- - MrYuran   Цитата(amw @ Apr 19 2008, 14:15) Ну я для...   Apr 24 2008, 05:19
||- - amw   Цитата(MrYuran @ Apr 24 2008, 08:19) Для ...   Apr 24 2008, 07:47
||- - MrYuran   Цитата(amw @ Apr 24 2008, 10:47) Спасибо....   Apr 24 2008, 08:32
||- - AHTOXA   Цитата(MrYuran @ Apr 24 2008, 14:32) Я во...   Apr 24 2008, 09:14
||- - Сергей Борщ   Цитата(MrYuran @ Apr 24 2008, 11:32) ЗАТО...   Apr 24 2008, 09:27
||- - mdmitry   Цитата(Сергей Борщ @ Apr 24 2008, 13:27) ...   Apr 24 2008, 10:54
||- - klen   все было шеколадно пока не обновился .... работа...   Apr 29 2008, 06:14
||- - IgorKossak   Цитата(klen @ Apr 29 2008, 09:14) все был...   May 11 2008, 10:30
|- - gte   Цитата(Сергей Борщ @ Apr 19 2008, 15:04) ...   Apr 19 2008, 12:37
- - demiurg_spb   У меня тоже есть два идиотских вопроса: 1. Где зад...   Apr 14 2008, 20:36
|- - Daskar   Цитата(demiurg_spb @ Apr 14 2008, 23:36) ...   Apr 15 2008, 16:23
|- - Сергей Борщ   Цитата(Daskar @ Apr 15 2008, 19:23) Подсв...   Apr 15 2008, 21:06
- - amw   Почитал, решил присоединится в eclipse-водам Возн...   Apr 19 2008, 10:25
- - gte   Дошел до реальной платы. Пока не приехал MT-Link п...   Apr 23 2008, 21:44
- - LessNik   Импортировал некоторые лишние файлы в проект. А ка...   May 6 2008, 12:56
|- - Непомнящий Евгений   Цитата(LessNik @ May 6 2008, 16:56) Импор...   May 7 2008, 04:04
|- - IgorKossak   Цитата(LessNik @ May 6 2008, 15:56) Импор...   May 7 2008, 05:07
|- - Непомнящий Евгений   Цитата(IgorKossak @ May 7 2008, 09:07) Вы...   May 7 2008, 05:11
||- - IgorKossak   Цитата(Непомнящий Евгений @ May 7 2008, 08...   May 7 2008, 06:17
||- - Непомнящий Евгений   Цитата(IgorKossak @ May 7 2008, 10:17) Фа...   May 7 2008, 06:49
||- - IgorKossak   Цитата(Непомнящий Евгений @ May 7 2008, 09...   May 7 2008, 12:54
|- - MrYuran   Цитата(IgorKossak @ May 7 2008, 08:07) Вы...   May 7 2008, 05:15
- - LessNik   У меня файл удаляется полностью с винта и с проект...   May 7 2008, 06:33
- - IgorKossak   Цитатакосяк в том что теперь CDT упорно создает в ...   May 12 2008, 09:16
|- - klen   чичас проверю - мне ужо терять нечего. а Вы пока п...   May 12 2008, 14:08
|- - IgorKossak   Цитата(klen @ May 12 2008, 17:08) чичас п...   May 12 2008, 20:11
- - Leen   1 Мне помог разобраться в начальных настройках про...   May 13 2008, 17:26
|- - klen   Цитата(Leen @ May 13 2008, 21:26) 2 klen,...   May 13 2008, 19:08
- - Leen   2 klen - спасибо, все собралось, только пришлось п...   May 16 2008, 05:20
- - LessNik   А кто-нибудь пробовал собрать проект иаром (создат...   May 16 2008, 07:20
|- - Сергей Борщ   Цитата(LessNik @ May 16 2008, 10:20) А кт...   May 16 2008, 10:33
- - MrYuran   А чё это у меня какие-то фиговинки оранжевые появи...   May 16 2008, 08:04
|- - Непомнящий Евгений   Цитата(MrYuran @ May 16 2008, 12:04) А чё...   May 16 2008, 08:08
|- - IgorKossak   Цитата(MrYuran @ May 16 2008, 11:04) Вот ...   May 16 2008, 08:57
|- - Andy Mozzhevilov   Цитата(IgorKossak @ May 16 2008, 14:57) Н...   May 16 2008, 10:56
|- - Сергей Борщ   Цитата(Andy Mozzhevilov @ May 16 2008, 13...   May 16 2008, 12:39
|- - IgorKossak   Цитата(Andy Mozzhevilov @ May 16 2008, 13...   May 17 2008, 16:02
|- - Andy Mozzhevilov   Цитата(IgorKossak @ May 17 2008, 22:02) Р...   May 19 2008, 03:09
|- - LessNik   Цитата(Andy Mozzhevilov @ May 19 2008, 07...   May 20 2008, 09:46
|- - Andy Mozzhevilov   Цитата(LessNik @ May 20 2008, 15:46) Мне ...   May 20 2008, 09:57
- - Leen   Цитата(Сергей Борщ @ Apr 16 2008, 08:06) ...   May 20 2008, 10:56
- - LessNik   А как можно сделать(создать) разбор ошибок, возник...   May 29 2008, 04:55
|- - xelax   Цитата(LessNik @ May 29 2008, 08:55) А ка...   May 29 2008, 05:34
||- - LessNik   Цитата(xelax @ May 29 2008, 09:34) Window...   May 29 2008, 07:50
||- - Сергей Борщ   Цитата(LessNik @ May 29 2008, 10:50) Я им...   May 29 2008, 08:05
|- - MrYuran   Цитата(LessNik @ May 29 2008, 08:55) А ка...   May 29 2008, 05:50
- - Lukyanov   Недавно приобрели отладочную плату Atmel STK1000 п...   May 31 2008, 20:05
|- - klen   наверно так нада рассуждать; 0. соеденить по UART ...   Jun 1 2008, 08:38
- - Johny   А объясните новичку, где взять плагин Zylin? На их...   Jul 17 2008, 20:04
|- - gotty   Цитата(Johny @ Jul 17 2008, 23:04) А объя...   Jul 18 2008, 06:10
|- - Johny   Цитата(gotty @ Jul 18 2008, 10:10) Всё оч...   Jul 18 2008, 09:00
- - Johny   Проставил Zylin, попробовал. Вероятно, проблема н...   Jul 25 2008, 18:35
|- - klen   обновил все - сам eclips, cdt, zylin-cdt. пропал ...   Jul 26 2008, 11:01
|- - amw   Цитата(klen @ Jul 26 2008, 14:01) обновил...   Jul 28 2008, 05:53
- - Цырен   Люди. Все кто разобрался, как компилить проекты в ...   Aug 5 2008, 14:46
|- - AHTOXA   http://msp430.techcontent.net/wiki/index.p...s/Ecl...   Aug 5 2008, 15:43
||- - Цырен   Знаете, я не очень понимаю теорию построения Eclip...   Aug 6 2008, 09:48
||- - MrYuran   Цитата(Цырен @ Aug 6 2008, 13:48) и тут я...   Aug 6 2008, 10:03
||- - Цырен   Как это бывает с АВРом я в соответствующей папке п...   Aug 6 2008, 10:52
|- - MrYuran   Цитата(Цырен @ Aug 5 2008, 18:46) 3. Можн...   Aug 6 2008, 05:41
- - ZAA   Уважаемые коллеги!!! Кто-нить сталкива...   Aug 6 2008, 14:31
|- - injen-d   Цитата(ZAA @ Aug 6 2008, 18:31) Уважаемые...   Aug 7 2008, 17:39
|- - ZAA   Цитата(injen-d @ Aug 7 2008, 20:39) ...   Aug 11 2008, 05:08
|- - amw   Цитата(ZAA @ Aug 11 2008, 08:08) Прикрепл...   Aug 11 2008, 15:14
|- - ZAA   Цитата(amw @ Aug 11 2008, 18:14) Я так по...   Aug 11 2008, 15:38
- - Сергей Борщ   Отвечаю на некоторые свои же вопросы. Цитата(Серге...   Sep 10 2008, 15:32
- - sevstels   На мой взгляд, очень интересная вводная лекция о р...   Sep 26 2008, 14:37
- - mdmitry   Три статьи на русском о Eclipse Перенос разработо...   Sep 30 2008, 14:16
- - COMA   Добрый день. Помогите составить необходимый миниму...   Oct 14 2008, 11:13
- - ikX   В CDT 5.0 указано поддержка doxygen ЦитатаDoxygen ...   Oct 16 2008, 16:28
|- - xelax   Цитата(ikX @ Oct 16 2008, 20:28) В CDT 5....   Oct 17 2008, 09:39
|- - ikX   Цитата(xelax @ Oct 17 2008, 12:39) У меня...   Oct 17 2008, 12:50
|- - xelax   Цитата(ikX @ Oct 17 2008, 16:50) А подроб...   Oct 17 2008, 13:42
|- - Непомнящий Евгений   Цитата(ikX @ Oct 17 2008, 16:50) А подроб...   Oct 20 2008, 04:58
|- - xelax   Цитата(Непомнящий Евгений @ Oct 20 2008, 08...   Oct 20 2008, 07:24
|- - gotty   Цитата(xelax @ Oct 20 2008, 09:24) /** -...   Nov 14 2008, 13:13
- - klen   Чето Ганимед, выход компиллера недопарсивает... ...   Nov 14 2008, 12:07
|- - IgorKossak   Цитата(klen @ Nov 14 2008, 14:07) Чето Га...   Nov 14 2008, 16:05
|- - klen   Цитата(IgorKossak @ Nov 14 2008, 19:05) У...   Nov 15 2008, 08:04
|- - IgorKossak   Цитата(klen @ Nov 15 2008, 10:04) а ...   Nov 17 2008, 19:56
|- - klen   Цитата(IgorKossak @ Nov 17 2008, 22:56) P...   Nov 17 2008, 21:18
|- - IgorKossak   Цитата(klen @ Nov 17 2008, 23:18) устал я...   Nov 19 2008, 09:26
|- - klen   Цитата(IgorKossak @ Nov 19 2008, 12:26) Н...   Nov 22 2008, 09:38
|- - IgorKossak   Цитата(klen @ Nov 22 2008, 12:38) один в ...   Nov 26 2008, 09:08
- - sonycman   А почему в Ганимеде не работает автозавершение вво...   Nov 24 2008, 20:14
8 страниц V   1 2 3 > » 


Reply to this topicStart new topic
2 чел. читают эту тему (гостей: 2, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 27th April 2024 - 18:10
Рейтинг@Mail.ru


Страница сгенерированна за 0.03907 секунд с 7
ELECTRONIX ©2004-2016