реклама на сайте
подробности

 
 
> TEventFlag.Signal_isr()
abutorin
сообщение Mar 8 2015, 21:06
Сообщение #1


Участник
*

Группа: Участник
Сообщений: 40
Регистрация: 3-09-12
Пользователь №: 73 374



Доброго времени суток.
Пробую использовать TEventFlag для оповещения основного цикла программы о завершении передачи даннных через SPI на STM32F103. В основном цикле очищаю флаг события, записываю данные в регистр, начинаю ждать событие. В обработчике прерывания взвожу флаг события методом signal_isr. Все работает, но остается одна проблема, процесс ожидающий этого события пробуждается только после следующего планирования процессов по системному таймеру, т.е. только примерно через 1мс. Мне казалось что такой задержки быть не должно. Я что-то делаю не так, или непонимаю как это должно работать. Подскажите кто чем может.
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
SergNK
сообщение Jan 23 2017, 04:41
Сообщение #2


Частый гость
**

Группа: Свой
Сообщений: 139
Регистрация: 30-03-11
Из: Фаниполь (Минск)
Пользователь №: 63 991



Это было сделано в первую очередь. Я не новичок в осях и понимаю подводность камней. Лет 10 назад починил PicOS18. Там при определённых условиях стек Idle восстанавливался не полностью, из-за чего происходило переполнение стеков. Тяжёлая ошибка.
Go to the top of the page
 
+Quote Post
AHTOXA
сообщение Jan 23 2017, 06:23
Сообщение #3


фанат дивана
******

Группа: Свой
Сообщений: 3 387
Регистрация: 9-08-07
Из: Уфа
Пользователь №: 29 684



Не обижайтесь, но раз вы этого явно не написали, кто-то должен был спросить sm.gif
Ещё банальный вопрос: укажите использованную версию оси, и используемый компилятор.


--------------------
Если бы я знал, что такое электричество...
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- abutorin   TEventFlag.Signal_isr()   Mar 8 2015, 21:06
- - DmitryM   Цитата(abutorin @ Mar 9 2015, 00:06) Добр...   Mar 9 2015, 06:08
- - Сергей Борщ   Цитата(abutorin @ Mar 8 2015, 23:06) Подс...   Mar 9 2015, 06:45
|- - abutorin   Цитата(Сергей Борщ @ Mar 9 2015, 09:45) В...   Mar 9 2015, 09:14
|- - Сергей Борщ   Цитата(abutorin @ Mar 9 2015, 11:14) Созд...   Mar 9 2015, 10:10
|- - abutorin   Цитата(Сергей Борщ @ Mar 9 2015, 13:10) В...   Mar 9 2015, 11:49
- - SergNK   Доброго дня! Решил поднять тему вот таким воп...   Jan 18 2017, 11:23
|- - Сергей Борщ   QUOTE (SergNK @ Jan 18 2017, 13:23) Вылет...   Jan 18 2017, 12:04
- - SergNK   Ща попробую Контекст портится после suspend(Proce...   Jan 18 2017, 12:41
- - SergNK   Словил за хвост эту проблему. Словами или кодом оп...   Jan 20 2017, 21:04
- - SergNK   Весь день просидел и пытался подобраться поближе к...   Jan 22 2017, 20:39
|- - AHTOXA   Извините за банальность, но не пробовали ли вы вык...   Jan 22 2017, 21:42
- - SergNK   scmRTOS v5.1 IAR 7.70 Windows 10   Jan 23 2017, 15:46
|- - AHTOXA   Понятно. Свой порт под IAR для M0+? Давайте тепер...   Jan 23 2017, 16:41
- - SergNK   Да, не видно. То, что удалось словить, - это уже с...   Jan 23 2017, 17:15
|- - AHTOXA   Вы не ответили про порт. Что за порт? Покажите код...   Jan 23 2017, 17:19
- - SergNK   Простите, не понял сразу. CODEPendSV_Handler #if...   Jan 23 2017, 19:31
|- - AHTOXA   С обработчиком порядок, он один-в-один как в порте...   Jan 23 2017, 20:03
- - SergNK   Вот приоритеты: CODE//----------------------------...   Jan 23 2017, 20:23
|- - AHTOXA   Ага, вот оно! В M0 нет побайтового доступа к р...   Jan 23 2017, 21:09
- - SergNK   Только сегодня добрался до проекта. Работа, однако...   Jan 28 2017, 20:43
|- - AHTOXA   Цитата(SergNK @ Jan 29 2017, 01:43) Как с...   Jan 28 2017, 20:56
||- - SergNK   Цитата(AHTOXA @ Jan 28 2017, 23:56) Код E...   Jan 28 2017, 21:31
|- - esaulenka   Цитата(SergNK @ Jan 28 2017, 23:43) Как с...   Jan 30 2017, 13:44
- - SergNK   Удалось-таки победить. Вот что вышло: Это обработ...   Feb 6 2017, 19:18
|- - dxp   QUOTE (SergNK @ Feb 7 2017, 02:18) Удалос...   Feb 7 2017, 07:18
- - SergNK   Можно. Я отладил код под Freescale M0+. Подчистить...   Feb 7 2017, 07:28
|- - dxp   QUOTE (SergNK @ Feb 7 2017, 14:28) Можно....   Feb 7 2017, 07:44
- - SergNK   Спасибо за доверие! Первый вариант.   Feb 7 2017, 08:14
- - dxp   QUOTE (SergNK @ Feb 7 2017, 15:14) Спасиб...   Feb 7 2017, 08:48


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 13th May 2024 - 23:36
Рейтинг@Mail.ru


Страница сгенерированна за 0.01415 секунд с 7
ELECTRONIX ©2004-2016