Версия для печати темы

Нажмите сюда для просмотра этой темы в обычном формате

Форум разработчиков электроники ELECTRONIX.ru _ Среды разработки - обсуждаем САПРы _ Редакторы HDL

Автор: zorromen Mar 24 2007, 13:38

Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?

Автор: Doka Mar 24 2007, 16:54

ну а что такое "писать" ?

"просто писать" можно и в текстовом редакторе
"работать с проектом" - в соответствующей САПР (или из командной строки, используя makefile)


PS: кстати, насчет редакторов - для многих из них есть писанные энтузиастами файлы подсветки верилог-синтаксиса (даже для Eclipse, хотя это уже больше IDE) или сами редакторы, заточенные под HDL (HDLTurboWriter)

Автор: Adlex Mar 24 2007, 19:28

В квартусе делаетcz File-New-HDL Verilog Fileпосле его написания добавляете в проект (или создаете его) и возможна верификация синтаксиса (из меню Processing - Analyze Current File) или сразу кнопкой панели инструментов. А удобно или нет - это индивидуально.
Это если я правильно понял вопрос

Автор: vetal Mar 24 2007, 20:55

Очень интересный и хороший текстовый редактор Programmers Notepad 2
http://electronix.ru/redirect.php?http://www.pnotepad.org
Вне IDE работаю с ним.

Автор: makc Mar 24 2007, 21:29

(g)vim - http://electronix.ru/redirect.php?http://www.vim.org/

Мощный и легко расширяемый редактор. Но довольно специфичный. wink.gif

Автор: std-logic Mar 26 2007, 06:35

IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...

Автор: Uuftc Mar 27 2007, 08:51

Цитата(std-logic @ Mar 26 2007, 07:35) *
IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...

Присоединяюсь - очень грамотная штука

Автор: iosifk Mar 27 2007, 09:11

Цитата(Doka @ Mar 24 2007, 16:54) *
"просто писать" можно и в текстовом редакторе


Добавляю - я пишу в редакторе EditPlus2 - он очень компактный и может делать подсветку синтаксиса для многих языков, можно даже и для самодельных ассемблеров... Есть вставки темплейтов и автоокончание.
Удачи!

Автор: SM Mar 27 2007, 10:59

Цитата(zorromen @ Mar 24 2007, 14:38) *
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Не наблюдаю, чего там не хватает. Пишу все с нуля в квартусе, неудобств редактора не вижу. И не пользуюсь никакими внешними инструментами, кроме симулятора, и то только в "тяжелых случаях". Про встроенный симулятор согласен, штука неудобная для более менее сложных проектов, так как не поддерживает тестбенчи, а только вручную нарисованные сигналы.

Автор: Victor® Mar 27 2007, 12:01

Цитата(zorromen @ Mar 24 2007, 13:38) *
Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Context
www.context.cx
Есть все что надо, подсветка синтаксиса, автозаполение, хелп можно пристегнуть, например на VHDL...
ну и бесплатный

Автор: zorromen Mar 27 2007, 14:52

А как сделать силумуляцию в ActiveHDL проекта из кваркуса?

Автор: SM Mar 27 2007, 15:10

Цитата(zorromen @ Mar 27 2007, 15:52) *
А как сделать силумуляцию в ActiveHDL проекта из кваркуса?


В модельсиме (а он поддерживается разработчиками квартуса) вот так. И зачем Вам всякие левые пакеты. http://electronix.ru/redirect.php?http://www.altera.com/support/software/products/modelsim/mod-modelsim.html

Автор: glock17 Mar 27 2007, 15:54

Цитата(zorromen @ Mar 24 2007, 19:38) *
Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Пользуюсь UltraEdit Studio. У него есть очень удобная фича сборки исходников в один проект плюс легкое подключение трансляторов, симуляторов и прочих сопутствующих инструментов.

Автор: MobyDick Mar 27 2007, 19:56

Для VHDL и Verilog - посмотрите в сторону http://electronix.ru/redirect.php?http://notepad-plus.sourceforge.net/ru/site.htm:

Цитата
Notepad++ это бесплатный редактор текстовых файлов...
...
Основные особенности Notepad++ :
Подсветка текста и возможность сворачивания блоков, согласно синтаксису языка программирования
Поддерживаются языки : C, C++, Java, C#, XML, HTML, PHP, Javascript, RC ресурсный файл, makefile, ASCII арт файл (расширение .nfo), doxygen, ini файл, batch файл, ASP, VB/VBS файлы исходных кодов, SQL, Objective-C, CSS, Pascal, Perl, Python, Lua, TCL, Assembler, Ruby, Lisp, Scheme, Properties, Diff, Smalltalk, Postscript, VHDL, Ada, Caml, AutoIt, KiXtart, Matlab and Verilog.
...
Настраиваемый пользователем режим подсветки синтаксиса
Авто-завершение набираемого слова
Выделение скобок при редактировании текста

...

Автор: zorromen Mar 28 2007, 09:10

Чесно меня заинтересовала симуляция в левых пакетах ... особенно в Активе ... чтобы с поддержкой тест бенчей... но вот вопрос ... если в кваркусе при симуляциивидны задержки сгнала на выходе ... что должно быть как в реалии ... то при симуляции во внешних пакетов она будет? или будет рассматриваться идеальный случай когда задержка равно нулю? ... и еще можно подробнее как симулировать в активе кваркусовский проект ... то шото неочень получается...

Автор: SM Mar 28 2007, 10:29

Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.

Автор: zorromen Mar 28 2007, 10:55

А мультисим? ... Кстати а шо такое просто мультисим и мультисим-альтера? ...

Автор: NiOS Mar 28 2007, 11:26

Цитата(MobyDick @ Mar 27 2007, 20:56) *
Для VHDL и Verilog - посмотрите в сторону http://electronix.ru/redirect.php?http://notepad-plus.sourceforge.net/ru/site.htm:

Посмотрел. Установил. Понравилась. cheers.gif

Автор: druzhin Mar 28 2007, 13:13

Цитата(Victor® @ Mar 27 2007, 13:01) *
Context
www.context.cx
Есть все что надо, подсветка синтаксиса, автозаполение, хелп можно пристегнуть, например на VHDL...
ну и бесплатный

Я для верилога перепробовал все упомянутые в этой ветке текст-эдиторы. Удобней всех - ConTEXT !!!!!!

В присоединённом файле содержится мой личный верилоговский highlighter для контекста. Он сильно лучше умолчального, нормально распознаёт верилоговский формат чисел. Заточен под ксилинкс. Отсутствующие библиотечные ксилинксовские элементы для подсветки добавляйте сами.
Ещё добавил highlighter для ucf-файлов.

 VerilogHDL.rar ( 1.97 килобайт ) : 266
 UCF.rar ( 1.65 килобайт ) : 252
 

Автор: NiOS Mar 28 2007, 13:53

Цитата(druzhin @ Mar 28 2007, 14:13) *
Я для верилога перепробовал все упомянутые в этой ветке текст-эдиторы. Удобней всех - ConTEXT !!!!!!

В присоединённом файле содержится мой личный верилоговский highlighter для контекста. Он сильно лучше умолчального, нормально распознаёт верилоговский формат чисел. Заточен под ксилинкс. Отсутствующие библиотечные ксилинксовские элементы для подсветки добавляйте сами.
Ещё добавил highlighter для ucf-файлов.

Замечу, что я до этого пользовался ConTEXT'om и в нем нет, скажем, эстетитической функции сворачивания "функционального блока"

Автор: Postoroniy_V Mar 28 2007, 14:44

Цитата(SM @ Mar 28 2007, 11:29) *
Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.

в q7.0 поддерживается Aldec Active-HDL version 7.1 SP2
http://electronix.ru/redirect.php?http://www.altera.com/support/software/nativelink/simulation/activehdl/eda_pro_aldec_setup.html

Автор: druzhin Mar 28 2007, 14:48

Цитата(NiOS @ Mar 28 2007, 14:53) *
Замечу, что я до этого пользовался ConTEXT'om и в нем нет, скажем, эстетитической функции сворачивания "функционального блока"

Ну тогда вам надо попробывать HDL TurboWriter, в нём оно есть. Я сам точно не знаю, что лучше - КонТекст или он. Вот бы их скрестить!
Кстати, я и для турбоврайтера написал прекрасный верилоговский хайлайтер.

Автор: dxp Mar 28 2007, 16:00

Цитата(SM @ Mar 28 2007, 14:29) *
Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.

Уже поддерживается. Да и то, что выдает Квартус для стороннего симулятора без вопросов кушается Активом и симуляется. А сейчас там у них какой-то новый интерфейс ввели, чтобы можно было прямо из Квартуса вызывать Актив на симуляцию.

Автор: SM Mar 28 2007, 19:43

Цитата(zorromen @ Mar 28 2007, 11:55) *
А мультисим? ... Кстати а шо такое просто мультисим и мультисим-альтера? ...


А что такое мультисим я сам не знаю. А вот modelsim - это среда для моделирования фирмы Mentor Graphics.

Автор: rv3dll(lex) Mar 28 2007, 20:41

попеременно desview и редактор от ISE

Автор: zorromen Mar 28 2007, 20:46

Извеняюсь ... конечно modelsim ... У меня неполучается правильно запустить ... Компиляция проходт нормально и modelsim запускается а что потом? ... Помогите с правильной последовательностью действий ...

Автор: SM Mar 28 2007, 20:49

Вот там описано шаг за шагом => http://electronix.ru/redirect.php?http://www.altera.com/support/software/nativelink/simulation/modelsim/eda_view_using_msim.html

Автор: zorromen Mar 29 2007, 11:50

У меня пишет когда пускаешь симуляцию из кваки6.0 в modelsim6.2f


vsim gate_work.plis
# vsim gate_work.plis
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: plis.vo(69): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(101): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(144): Module 'cyclone_lcell' is not defined.
# ** Error: plis.vo(169): Module 'cyclone_io' is not defined.
# Optimization failed
# Error loading design

И че делать?

Автор: dxp Mar 29 2007, 12:58

Цитата(zorromen @ Mar 29 2007, 15:50) *
У меня пишет когда пускаешь симуляцию из кваки6.0 в modelsim6.2f
vsim gate_work.plis
# vsim gate_work.plis
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: plis.vo(69): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(101): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(144): Module 'cyclone_lcell' is not defined.
# ** Error: plis.vo(169): Module 'cyclone_io' is not defined.
# Optimization failed
# Error loading design

И че делать?

Очевидно, библиотеки подключить. Которые лежат у Квартуса в \eda\sim_lib\. В данном случае по всему видно, что нужна cyclone_atoms.

Автор: zorromen Mar 29 2007, 15:37

Люди помогите ... меня уже это все достало ... как правильно , по шагам ... что нажимать ичто куда писать ... чтобы запустить на симуляцию в модельсиме из квака 6.0 ... я долго непротяну ... напьюсь ... мож так заработает) ...

Автор: sazh Mar 29 2007, 16:27

Quartus нормально поддерживает языки описания. Нормально все моделирует. (Графический ввод входных воздействий). Прогоните польностью свой проект в нем. А потом и за внешние симуляторы можно взяться. Невозможно чистое поле вспахать за один раз.

Автор: Malder May 11 2007, 20:49

Для написнания кода на Verilog'e и VHDL'e пользуюсь PRISM 'ом. Очень грамотный редактор, отлично все подсвечивает.

НО!!! самая главная фича этого редактора - это возможность ПЕЧАТАТЬ любые символы НА НЕСКОЛЬКИХ СТОРОКАХ ОДНОВРЕМЕННО!!!!

В итоге можно легко набрать такой текст:

input
input
input
input
input

Очень полезная функция, т.к. часто приходися делать много однотипных изменений!!!

Кто-нибудь встречал подобные возможности в других прграммах ????

Автор: dxp May 13 2007, 12:54

Цитата(Malder @ May 12 2007, 03:49) *
НО!!! самая главная фича этого редактора - это возможность ПЕЧАТАТЬ любые символы НА НЕСКОЛЬКИХ СТОРОКАХ ОДНОВРЕМЕННО!!!!

В итоге можно легко набрать такой текст:

input
input
input
input
input

Очень полезная функция, т.к. часто приходися делать много однотипных изменений!!!

Кто-нибудь встречал подобные возможности в других прграммах ????

Очевидно имеется в виду функция редактора Word Completion. Она имеется во многих приличных редакторах, например, присутствует в SlickEdit, которым я и пользуюсь в том числе для кодирования HDL.

Автор: zltigo May 13 2007, 15:47

Цитата(Malder @ May 11 2007, 23:49) *
Кто-нибудь встречал подобные возможности в других прграммах ????

Прикольно. Реализуется в любом приличном редакторе (в том-же SlickEdit, Multiedit,...). Надо будет себе такое сделать, только вот, если не сложно, как процесс входа и выхода из этого режима в PRISM происходит?
Что-то я ни на чем конкретном свой выбор остановить не могу sad.gif, все как-то не совсем удобным кажется.

Автор: Malder May 28 2007, 06:15

Цитата(zltigo @ May 13 2007, 19:47) *
Прикольно. Реализуется в любом приличном редакторе (в том-же SlickEdit, Multiedit,...). Надо будет себе такое сделать, только вот, если не сложно, как процесс входа и выхода из этого режима в PRISM происходит?
Что-то я ни на чем конкретном свой выбор остановить не могу sad.gif, все как-то не совсем удобным кажется.


Зажимается Ctrl и левой клавишей мыши выделяется нужный кусок кода, все просто и изящно! =)

Автор: BSV May 28 2007, 17:31

В UltraEdit и UEStudio имеется такой режим - называется Column mode. Там еще можно произвольный прямоугольный кусок выделить и перенести или скопировать.

Автор: sumerik May 29 2007, 19:51

Цитата(BSV @ May 28 2007, 21:31) *
В UltraEdit и UEStudio имеется такой режим - называется Column mode. Там еще можно произвольный прямоугольный кусок выделить и перенести или скопировать.

в Prism вроде бы тоже можно. тот же Контроло и мышкой выделем

Автор: RHnd Jul 15 2007, 19:23

А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.
Кстати, поставил сейчас ConTEXT - а что там за функции пользователя (F9-F12)?
И вопрос по Notepad++ - можно ли там комментирование блока на хоткей поставить?

Автор: zltigo Jul 15 2007, 21:59

Цитата(Malder @ May 28 2007, 09:15) *
Зажимается Ctrl и левой клавишей мыши выделяется нужный кусок кода, все просто и изящно! =)

Ничего не понял в изяществе - причем тут 'выделяем' к печатаем. Получается это не 'печатаем' а банально размножаем в выделенной колонке. Опять мышки sad.gif. Неудобно.
Думалось, что входим в режим, указываем количество строк и в реальном времени наслаждаемся результатом.




Цитата(RHnd @ Jul 15 2007, 22:23) *
А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена?

Достаточно обычная функция. И несколько буферов и иавигация по ним, и операции склейки, редактирования, стековые. Но вообще-то у меня в MEW это совсем не воcтребованная функция.

Автор: Doka Jul 15 2007, 22:21

Цитата(RHnd @ Jul 15 2007, 23:23) *
работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.


а если не секрет - зачем?.. какая в этом может быть реальная потрбность?
(учитывая что большиснтво юзверей назначает Ctrl+V Ctrl+C на дополнительные кнопки мыши, а тут искать (пусть даже ради возможности нескольких буферов) разные комбинации)

PS: хотя если сами фрагменты более -менее статичные (шаблоны?) , то реализовать через фичу многих редакторов - templates - быстрая вставка из базы пользовательских примитивов.

Автор: makc Jul 16 2007, 05:52

Цитата(RHnd @ Jul 15 2007, 23:23) *
А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.
Кстати, поставил сейчас ConTEXT - а что там за функции пользователя (F9-F12)?
И вопрос по Notepad++ - можно ли там комментирование блока на хоткей поставить?


(g)vim умеет. В командах для работы с текстом (выделение/вставка) можно указать идентификатор буфера (регистра) для выполнения операции.


Цитата(Doka @ Jul 16 2007, 02:21) *
а если не секрет - зачем?.. какая в этом может быть реальная потрбность?
(учитывая что большиснтво юзверей назначает Ctrl+V Ctrl+C на дополнительные кнопки мыши, а тут искать (пусть даже ради возможности нескольких буферов) разные комбинации)

PS: хотя если сами фрагменты более -менее статичные (шаблоны?) , то реализовать через фичу многих редакторов - templates - быстрая вставка из базы пользовательских примитивов.


Это иногда бывает полезно, когда собираешь что-нибудь по кусочкам в одном месте. Чтобы сначала набрать пачку блоков, а потом их вставить и использовать.

Автор: RHnd Feb 9 2008, 13:46

Поставил себе notepad++. Нравится. Однако, возникло несколько вопросов:
1) Такое ощущение, что FAQ с сайта слегка устарел по отношеню к программе и вид всех диалогов изменен. В частности, по факу с сайта я так и не смог найти, где можно настроить набор слов, которые будут в верилоге открывать и закрывать блок, т.е. можно будет сворачивать текст между ними.
2) Так же не нашел работу с темплейтами. Единственно, что похоже - плагин quikText, но его я не смог подружить с verilog. sad.gif
help.gif

Автор: Саша Z Feb 9 2008, 14:45

Цитата(RHnd @ Feb 9 2008, 17:46) *
Поставил себе notepad++. Нравится. Однако, возникло несколько вопросов:
1) Такое ощущение, что FAQ с сайта слегка устарел по отношеню к программе и вид всех диалогов изменен. В частности, по факу с сайта я так и не смог найти, где можно настроить набор слов, которые будут в верилоге открывать и закрывать блок, т.е. можно будет сворачивать текст между ними.
2) Так же не нашел работу с темплейтами. Единственно, что похоже - плагин quikText, но его я не смог подружить с verilog. sad.gif
help.gif


К сожалению не смогу помочь моим минимальным опытом в notepad++, но ен так давно тоже рассматривал оптции редакторов, пробовал несколько, все-таки остановился на notepad++. Простота + функциональность (я на VHDLе) очень привлекли...

Автор: Doka Feb 10 2008, 12:14

RHnd
сейчас поставил себе эту штуку - посмотреть хоть что такое
версия - 4.7.5

при выбранном "синтаксис -> verilog"
нормально распознаются блоки begin-end & function-endfunction
единственное точ - не поддерживается похоже "module-endmodule".

Автор: RHnd Feb 10 2008, 16:09

Цитата(Doka @ Feb 10 2008, 15:14) *
при выбранном "синтаксис -> verilog"
нормально распознаются блоки begin-end & function-endfunction
единственное точ - не поддерживается похоже "module-endmodule".

Вот именно поэтому я бы хотел найти, где это добавляется. Причем, module-endmodule практически не актуально (1 файл - один модуль), а хочется добавить какое-нить слово, начинающееся с // для отмечания начала-конца декларации регистров и вайров. А то мешают блоки декларации на полторы страницы. sad.gif Или, может, есть какой-то общеупотребительный способ, о котором я просто не знаю? smile.gif

Автор: CaPpuCcino Feb 18 2008, 10:01

подсветка систаксиса СистемВерилог для УльтраЕдит начиная с 13 версии (самоляпная, так что мож что упустил)

 SV_highlight.txt ( 5 килобайт ) : 220
 

Автор: CaPpuCcino Mar 5 2008, 11:41

Цитата(CaPpuCcino @ Feb 18 2008, 13:01) *
подсветка систаксиса СистемВерилог для УльтраЕдит начиная с 13 версии (самоляпная, так что мож что упустил)

немного подправил автоматической выравнивание текста:
/Indent Strings = "fork" "specify" "config" "class" "function" "task" "always" "always_ff" "always_comb" "always_latch" "initial" "final" "repeat" "do" "case" "for" "repeat" "forever" "program" "endprogram" "package" "interface" "if" "else" "begin" "property"
/Unindent Strings = "join" "join_any" "join_none" "while" "end" "else"
/Open Brace Strings = "{" "(" "[" "begin" "do" "case" "property"
/Close Brace Strings = "}" ")" "]" "end" "while" "endcase" "endproperty"
/Open Fold Strings = "begin" "specifiy" "function" "task" "class" "do" "case" "package" "(" "property"
/Close Fold Strings = "end" "endspecify" "endfunction" "endtask" "endclass" "while" "endcase" "endpackage" ")" "endproperty"

Автор: LeonY Mar 6 2008, 13:08

Господа! Еще один вопрос о "редакторах HDL". Кто-нибудь знает редактор с функцией "авто-форматирования"? Т.е. задача такая: берется уродский техт на VHDL/Verilog и нажатием одной волшебной кнопочки превращается из уродского в правильно отформатированный. Ессесно "правильно отформатированный" подразумевает задание правил онного отформатирования в соответсвии с определенными стандартами/личными предпочтениями.

Автор: Vadim Mar 6 2008, 14:02

Цитата(LeonY @ Mar 6 2008, 17:08) *
Господа! Еще один вопрос о "редакторах HDL". Кто-нибудь знает редактор с функцией "авто-форматирования"? Т.е. задача такая: берется уродский техт на VHDL/Verilog и нажатием одной волшебной кнопочки превращается из уродского в правильно отформатированный. Ессесно "правильно отформатированный" подразумевает задание правил онного отформатирования в соответсвии с определенными стандартами/личными предпочтениями.

Пользовался автоформатированием, когда сидел на Active HDL, но там оно есть - и все, никаких настроек не нашел. Любой мало-мальски приличный текстовый редактор обязан иметь эту функцию. А как ее будет реализовывать пользователь - это уже другой вопрос. Тэги, скрипты ...

Автор: makc Mar 6 2008, 19:36

Цитата(LeonY @ Mar 6 2008, 16:08) *
Господа! Еще один вопрос о "редакторах HDL". Кто-нибудь знает редактор с функцией "авто-форматирования"? Т.е. задача такая: берется уродский техт на VHDL/Verilog и нажатием одной волшебной кнопочки превращается из уродского в правильно отформатированный. Ессесно "правильно отформатированный" подразумевает задание правил онного отформатирования в соответсвии с определенными стандартами/личными предпочтениями.


(g)vim 7.1 - выделяется в визуальном режиме блок, далее нажимается кнопка '=' и вуаля - есть правильное форматирование. smile.gif

Автор: Evil Archer Mar 6 2008, 19:46

Подсветка синтаксиса SV для notepad++ 4.8.1. Просто к секции Verilog добавлены все ключевые слова по стандарту IEEE 1800-2005.

 langs.xml ( 74.78 килобайт ) : 366
 

Автор: id_gene Mar 12 2008, 09:27

Цитата(LeonY @ Mar 6 2008, 16:08) *
редактор с функцией "авто-форматирования"?
У emacs это называется "beautify", для ВХДЛ работает нормально, для верилога - нет.

Автор: des00 Mar 12 2008, 10:20

Цитата(id_gene @ Mar 12 2008, 04:27) *
У emacs это называется "beautify", для ВХДЛ работает нормально, для верилога - нет.


да похоже верилог вообще тяжелый язык для парсера, просмотрел несколько редакторов ни один нормально не работает.


Сам пристегнул моделсим к слику, после обработки его напильником разрабатывать можно как в альдеке, но писать/реверс-инженирить код на порядок удобнее. Единственное что не хватает так быстрого копирования инстанса модулей.

Насчет форматирования у меня оно уже на почти генном уровне сидит, но все же иногда подумываю сделать скрипт на питоне для этого.


Небольшой вопрос : не попадались ли кому программы для обфусскации (вроде так пишется) верилога/вхдл ? Если да то хотелось бы услышать мнение и название проги?


Спасибо.

Автор: Intekus Mar 12 2008, 11:27

Цитата(des00 @ Mar 12 2008, 14:20) *
Небольшой вопрос : не попадались ли кому программы для обфусскации (вроде так пишется) верилога/вхдл ? Если да то хотелось бы услышать мнение и название проги?
Спасибо.

Была ссылка с asic-world.com (http://www.eda-utilities.com/vo_eval.tar.gz - http://electronix.ru/redirect.php?http://asic-world.com/verilog/verilinks.html), но она непонятно, работает ли; гуглинг даёт только коммерческий - недоступно даже оценочной версии для скачивания - от Semantic Designs (http://www.semdesigns.com/Products/Obfuscators/VerilogObfuscator.html).

Автор: Evil Archer Mar 13 2008, 18:19

Цитата(Evil Archer @ Mar 6 2008, 22:46) *
Подсветка синтаксиса SV для notepad++ 4.8.1. Просто к секции Verilog добавлены все ключевые слова по стандарту IEEE 1800-2005.


Исправил ошибки, добавил подсветку системных функций SV.

 langs.xml ( 75.08 килобайт ) : 538
 

Автор: Vadim Mar 17 2008, 06:56

Вопрос к тем, кто работает с сликом. Как перенести личные настройки с одного компьютера на другой?

Автор: dxp Mar 17 2008, 08:52

Цитата(Vadim @ Mar 17 2008, 12:56) *
Вопрос к тем, кто работает с сликом. Как перенести личные настройки с одного компьютера на другой?

Попробуйте так (это фрагмент из старой доки, в новой почему-то этот FAQ убрали):

Цитата
Q: How do I transfer my configuration?

A:
Each user's configuration is automatically transferred to a newly installed version of Visual SlickEdit when they run the new version. Some configuration changes will not be transferred such as changes to our menus, and changes to our dialog boxes. Changes to our toolbars are preserved only if you are upgrading from 3.0b or after.

non-UNIX platforms: For single user configurations you need to install the new version of Visual SlickEdit on top of the previous version in order for your configuration to be transferred to the new version.

SINGLE USER NON-UNIX PLATFORMS:

To manually transfer your configuration changes from the previous version of Visual SlickEdit, perform these steps:


· If you are currently running the new version of Visual SlickEdit, close the editor now.

· If you have made color coding changes, copy your "vslick\user.vlx" file into the "vslick" directory of the new version.

· If you have recorded macros, copy your "vslick\macros\vusrmacs.e" into the "vslick\macros" directory of the new version.

· To tranfer other configuration changes, bring up the previous version of Visual SlickEdit. Activate the Visual SlickEdit command line at the bottom of the editor (ESC for most emulations) and type "list-source" and press ENTER. This will create the macro file "vusrdefs.e", and possible another macro file called "vusrobjs.e" in your "vslick\macros" directory. Copy "
vusrdefs.e" and (optionally) "vusrobjs.e", into the vslick\macros directory of the new version.

· Run the new version of Visual SlickEdit.

· If you ran the "list-source" command, type "vusrmods" and press ENTER on the Visual SlickEdit command line. This will switch emulations if necessary, and run "vusrdefs.e" and "
vusrobjs.e" (if present).

· If you copied your recorded macros file ("vslick\macros\vusrmacs.e"), load this macro now with the Load Module dialog box ("Macro...", "Load Module...").

MULTI-USER NON-UNIX PLATFORMS:

To manually transfer your configuration changes from the previous version of Visual SlickEdit, perform these steps:

· If you have made color coding changes, copy your "user.vlx" file located in the directory specified by your VSLICKCONFIG environment variable into the your VSLICKCONFIG directory of the new version.

· If you have recorded macros, copy your "vusrmacs.e" file located in the directory specified by your VSLICKCONFIG environment variable into the VSLICKCONFIG directory of the new version.

· To tranfer other configuration changes, bring up the previous version of Visual SlickEdit. Activate the Visual SlickEdit command line at the bottom of the editor (ESC for most emulations) and type "list-source" and press ENTER. This will create the macro file "vusrdefs.e", and possible another macro file called "vusrobjs.e" in the directory specified by your VSLICKCONFIG environment variable. Copy "vusrdefs.e" and (optionally) "vusrobjs.e", into the VSLICKCONFIG directory of the new version.

· Run the new version of Visual SlickEdit.

· If you ran the "list-source" command, type "vusrmods" and press ENTER on the Visual SlickEdit command line. This will switch emulations if necessary, and run "vusrdefs.e" and "
vusrobjs.e" (if present).

· If you copied your recorded macros file ("vusrmacs.e"), load this macro now with the Load Module dialog box ("Macro...", "Load Module...").

UNIX PLATFORMS:

To manually transfer your configuration changes from the previous version of Visual SlickEdit, perform these steps:

· If you have made color coding changes, copy your "user.vlx" file located in your $HOME/.vslick directory into the your $HOME/.vslick directory of the new version.

· If you have recorded macros, copy your "vusrmacs.e" file located in your $HOME/.vslick directory into the your $HOME/.vslick directory of the new version.

· To tranfer other configuration changes, bring up the previous version of Visual SlickEdit. Activate the Visual SlickEdit command line at the bottom of the editor (ESC for most emulations) and type "list-source" and press ENTER. This will create the macro file "vusrdefs.e", and possible another macro file called "vusrobjs.e" in your $HOME/.vslick directory. Copy "
vusrdefs.e" and (optionally) "vusrobjs.e", into the $HOME/.vslick directory of the new version.

· Run the new version of Visual SlickEdit.

· If you ran the "list-source" command, type "vusrmods" and press ENTER on the Visual SlickEdit command line. This will switch emulations if necessary, and run "vusrdefs.e" and "
vusrobjs.e" (if present).

· If you copied your recorded macros file ("vusrmacs.e"), load this macro now with the Load Module dialog box ("Macro...", "Load Module...").

Автор: des00 Mar 18 2008, 04:17

Цитата(Vadim @ Mar 17 2008, 01:56) *
Вопрос к тем, кто работает с сликом. Как перенести личные настройки с одного компьютера на другой?


еще вариант

http://electronix.ru/redirect.php?http://www.slickedit.com/content/view/112/81


Вопрос тому кто работает со сликом.

Вы не пробывали модифицировать Slick-C скрипты для лексического анализатора верилога ? В частности интересует скрипт "\macros\verilog.e"

А то чистый верилог он разбирает нормально и адекватно (с указанием типов и разрядности данных сигналов), но стоит только заменить reg/integer на систем верилоговские logic/int как лексер не может разобрать это.

Хотелось бы убрать этот недостаток.

Спасибо

Автор: dxp Mar 18 2008, 04:51

Цитата(des00 @ Mar 18 2008, 10:17) *
Вы не пробывали модифицировать Slick-C скрипты для лексического анализатора верилога ? В частности интересует скрипт "\macros\verilog.e"

А то чистый верилог он разбирает нормально и адекватно (с указанием типов и разрядности данных сигналов), но стоит только заменить reg/integer на систем верилоговские logic/int как лексер не может разобрать это.

Что вы понимаете под "нормально разбирает"? Например, умеет переходить к месту объявления объекта? Или хотя бы умеет делать нормальный completion имен? У меня это все не работает в Верилоге. Вот в С/С++ режиме все это работает замечательно, а с верилогом проблемы. Приходится довольствоваться только общими фишками (word completion, множественные буфера обмена, подсветка синтаксиса, запуск тулзов, переход на строки с ошибками), специализированные не работают.

Хотелось бы еще сюда же поддержку структур, объединений и прочих SV вкусностей. Slick-C сам по себе не сложен, но вот реализация на нем нетривиальных вещей получается уже далеко не простой. Я дальше простых макросов типа передвинуть текущую строку вверх/вниз, закомментить выделенный кусок кода, переход на следущее/предыдущее вхождение слова под курсором и т.д. не пошел. Для серьезного программирования на нем надо разбираться конкретно, а это время и другие ценные ресурсы, на которые хватает других "конкурентов". smile.gif

Автор: des00 Mar 18 2008, 05:13

Цитата(dxp @ Mar 17 2008, 23:51) *
Что вы понимаете под "нормально разбирает"? Например, умеет переходить к месту объявления объекта? Или хотя бы умеет делать нормальный completion имен? У меня это все не работает в Верилоге. Вот в С/С++ режиме все это работает замечательно, а с верилогом проблемы. Приходится довольствоваться только общими фишками (word completion, множественные буфера обмена, подсветка синтаксиса, запуск тулзов, переход на строки с ошибками), специализированные не работают.


Ну у меня если скормить ему чистый верилог файл (2001 года) с описанием портов модулей в стиле 95 года, то при реверс инжинеринге он :

1. строит нормальное дерево тегов.
2. показывает типы сигналов и позволяет переходить к местам объявления переменных и цепей.
3. показывает направление и типы портов ввода вывода
4. показывает так называемый surround переменных.

Цитата
Я дальше простых макросов типа передвинуть текущую строку вверх/вниз, закомментить выделенный кусок кода, переход на следущее/предыдущее вхождение слова под курсором и т.д. не пошел.


хмм вроде такие вещи делаются без макросов ( в частности блочный коммент есть по дефолту, только не повешен на хот кии).

Для верилога у меня работает дополнительно работает Dynamic Surround, а отключив Syntax Expansion и добавив вместо него своих alias можно убрать надоедливую проблему int/integer. %)))

Цитата
Хотелось бы еще сюда же поддержку структур, объединений и прочих SV вкусностей. Slick-C сам по себе не сложен, но вот реализация на нем нетривиальных вещей получается уже далеко не простой. Для серьезного программирования на нем надо разбираться конкретно, а это время и другие ценные ресурсы, на которые хватает других "конкурентов". smile.gif


Такие парсеры пока не интересуют, хотелось бы как минимум подсветку типов. Подозреваю что нужно просто в коде скрипта добавить к типам reg и wire другие типы и перекомпилировать скрипт (в *.ex файл).

Вот только компиляцию скриптов что-то никак не могу разобрать как делать правильно. Не могли бы ткнуть носом куда смотреть ? %)

Спасибо %)

А насчет времени, впереди вечность %) куда торопиться, можно и пару часов в неделю выкроить под Slick-C.

Автор: dxp Mar 18 2008, 07:17

Цитата(des00 @ Mar 18 2008, 11:13) *
Ну у меня если скормить ему чистый верилог файл (2001 года) с описанием портов модулей в стиле 95 года, то при реверс инжинеринге он :

Вот у меня порты описаны в стиле 2001, возможно поэтому он и лажается. Не готов отказаться от описания портов в стиле 2001 в угоду прихотям редактора.

Цитата(des00 @ Mar 18 2008, 11:13) *
Для верилога у меня работает дополнительно работает Dynamic Surround, а отключив Syntax Expansion и добавив вместо него своих alias можно убрать надоедливую проблему int/integer. %)))

Да, alias'ы рулят, сам широко использую. Например, на al назначен на alias

Код
always_ff @(posedge clk) begin
    if(rst) begin
        %\c
    end
    else begin

    end
end


а alwar:

Код
always_ff @(posedge clk, posedge rst) begin
    if(rst) begin
        %\c
    end
    else begin

    end
end


экономит время и силы на этих мелочах, за что его (редактор) и любим. smile.gif

Цитата(des00 @ Mar 18 2008, 11:13) *
Такие парсеры пока не интересуют, хотелось бы как минимум подсветку типов. Подозреваю что нужно просто в коде скрипта добавить к типам reg и wire другие типы и перекомпилировать скрипт (в *.ex файл).

Вы про syntax highlighting? Если да, то это совсем просто: Tools->Options->Color Coding, там увидите диалог, где рулятся опции подсветки синтаксиса, самый первый таб, как раз, задает список ключевых слов. Вписываете свои и вуаля.


Цитата(des00 @ Mar 18 2008, 11:13) *
Вот только компиляцию скриптов что-то никак не могу разобрать как делать правильно. Не могли бы ткнуть носом куда смотреть ?

Это тоже очень просто - для того, чтобы скрипт загрузился и скомпилялся, достаточно сделать его загрузку: Macro->Load Module. Там указываете имя .е файла и все. Он его скомпилит сам, загрузит в рабочее окружение и этот модуль теперь всегда будет использоваться.

Что касается комментирования блоков, то это там появилось не так давно, а раньше я свой использовал. В настоящее время из самописных макросов активно использую переход на следующее/предыдущее вхождение слова под курсором - удобно быстро посмотреть, где оно используется в текущем файле (хоткей на это назначен Alt+Up/Down) и перемещение текущей строки выше/ниже (хоткей Ctrl+Up/Down).

Цитата(des00 @ Mar 18 2008, 11:13) *
А насчет времени, впереди вечность %) куда торопиться, можно и пару часов в неделю выкроить под Slick-C.

Проблема в том, что на эту пару часов слишком много кандидатов, начиная от более плотного изучения того же SV, и заканчивая собственным проектом, который почти забросил из-за текучки. Во всяком случае Slick-C в этой очереди стоит где-то ближе к концу. И я бы предпочел, чтобы там вместо своего языка было бы что-нить типа питона, тогда, м.б. я бы нашел в себе время этим заняться, бо это было бы более благодарное занятие. А так Slick-C, как и подобает С-языку, слишком низкоуровневый и код на нем не поражает (меня) красотой и элегантностью. Не сказать, что он сложный, но в нем полно расширений и нюансов, что делает задачу его освоения не такой простой. А учитывая, что постоянно работать с ним не будешь, то когда понадобится в следующий раз что-то подправить, в чем-то разобраться, опять все придется проходить практически по новой. Не, не вдохновляет. smile.gif

Автор: Vadim Mar 18 2008, 07:52

dxp, des00, спасибо.

Автор: des00 Mar 18 2008, 10:07

Цитата(dxp @ Mar 18 2008, 02:17) *
Вы про syntax highlighting? Если да, то это совсем просто: Tools->Options->Color Coding, там увидите диалог, где рулятся опции подсветки синтаксиса, самый первый таб, как раз, задает список ключевых слов. Вписываете свои и вуаля.


Нет я не про него. Кстати путь описанный вами хорош, если нужно добавить 1,2 слова, а если нужно вбивать много то лучше ручками поправить

\Мои документы\My SlickEdit Config\12.0.3\user.vlx

при этом потом поделиться с другом полным набором служебных слов SV %))

а через Мои документы\My SlickEdit Config\12.0.3\uscheme.ini подарить ему свои цветовые схемы

я же говорил вот про что :

лексический парсер верилога лежит macros\verilog.e, если позырить его содержимое то там

Код
static SYNTAX_EXPANSION_INFO _Keywords:[] = {
   'always'       => { 'always' },
   'and'          => { 'and' },
   'assign'       => { 'assign' },
   'begin'        => { 'begin ... end' },


и похоже что новое содержимое .vlx файлов не учитывается в парсере, все собираюсь найти время и разобраться с этим парсером, что бы обрабатывал новые типы также как и старые.

Цитата
Это тоже очень просто - для того, чтобы скрипт загрузился и скомпилялся, достаточно сделать его загрузку: Macro->Load Module. Там указываете имя .е файла и все. Он его скомпилит сам, загрузит в рабочее окружение и этот модуль теперь всегда будет использоваться.


10x, гут, на досуге поковыряюсь.

Цитата
И я бы предпочел, чтобы там вместо своего языка было бы что-нить типа питона, тогда, м.б. я бы нашел в себе время этим заняться, бо это было бы более благодарное занятие.


Ну думаю что тогда слик работал бы со скоростью менторовского редактора из хдл дезайнера %))

Хотя PyWin вроде тоже на питоне сделан и работает достаточно быстро.

Автор: dxp Mar 18 2008, 13:38

Цитата(des00 @ Mar 18 2008, 16:07) *
Нет я не про него. Кстати путь описанный вами хорош, если нужно добавить 1,2 слова, а если нужно вбивать много то лучше ручками поправить

Если надо много, то там можно импортировать текстовый файл. Я так и делал, когда готовил подсветку для Blackfin'овского асма - там имен добавлять пришлось десятки. Сперва подготовил списки имен в текстовых файлах, потом импортировал их.

Цитата(des00 @ Mar 18 2008, 16:07) *
Ну думаю что тогда слик работал бы со скоростью менторовского редактора из хдл дезайнера %))

Заблуждение. Не настолько там сложные и навороченне операции. Сам Slick-C тоже не С/C++ - он тоже компилится в некий промежуточный код, который исполняется на движке редактора, т.е. это не нативный компилируемый язык. Примерно то на то и выйдет.

Цитата(des00 @ Mar 18 2008, 16:07) *
Хотя PyWin вроде тоже на питоне сделан и работает достаточно быстро.

Именно.

Автор: Escorial Apr 8 2008, 21:37

Поставил Eclipse IDE, а для SystemVerilog подключил к нему плагин DVT - теперь не нарадуюсь. Жаль плагин триальный на 6 месяцев присылают ключ. Но многое можно и самому сделать потом.

Т.к. Eclipse - интегрированная опенсурсная среда разработки, сразу подключил внутрь subversion.
Можно использовать плаги Mylin для коннекта из Eclipse к серверу управления проектом (есть коннекторы на Trac, Bugzilla, Jira, Xplanner и т.д.)

Теперь одна IDE стоит и на Linux'e и под Win'ом. Можно забыть про Nedit. smile.gif

Автор: cyclop Jun 4 2008, 09:25

Цитата(RHnd @ Feb 9 2008, 17:46) *
Поставил себе notepad++...
...Так же не нашел работу с темплейтами. Единственно, что похоже - плагин quikText, но его я не смог подружить с verilog. sad.gif
help.gif
Интересно, а кто-нибудь с template в notepad++ разобрался? А то без них он как бы и не очень нужен работающим в HDL.

Автор: cyclop Jun 5 2008, 15:26

Для notepad++ создал verilog.api. Ключевые слова - из стандарта Verilog 2001. Ну а вот директивы компилятора и системные задачи и функции в этом файле жить не захотели - не всегда открывалось окно списка.
А получается нездорово без шаблонов-то: ни свою, ни языковую, ни библиотечную конструкцию не вставить, только вручную.
Ну и до кучи. Не нашлось создания шапки (title). Вставка времени и имени файла есть, но по отдельности, а макрос эти вставки не взял, что-то ему мешается.
А выделение функциональных блоков смотрится хорошо, глаз так и цепляет.
Конечно, это всегда так было, что читать приятнее чем писать, и notepad++ это лишний раз подтверждает.

 verilog_api.rar ( 546 байт ) : 118
 

Автор: Vadim Jun 10 2008, 07:24

Можно ли сликовское окошко Build заставить отображать кириллицу?

Автор: dxp Jun 10 2008, 11:56

Цитата(Vadim @ Jun 10 2008, 14:24) *
Можно ли сликовское окошко Build заставить отображать кириллицу?

А почему нет? Шрифт только должен быть в соответствующей кодировке.

Автор: Vadim Jun 10 2008, 12:08

Цитата(dxp @ Jun 10 2008, 14:56) *
А почему нет? Шрифт только должен быть в соответствующей кодировке.

Как? Tools - Options - Font... - не получается, нет там окна Build. А как еще?

Автор: dxp Jun 10 2008, 13:07

Цитата(Vadim @ Jun 10 2008, 19:08) *
Как? Tools - Options - Font... - не получается, нет там окна Build. А как еще?

Хм. Я просто установил шрифт. Он для всех окон. И все работает. Хоть в редакторских окнах кириллица показывается, хоть в build. Правда, я просто беру и набираю там текст. Как оно отображается при запуске тулзов, которые выводят в кириллице, не знаю, не пробовал. У вас с этим проблемы?

Автор: Vadim Jun 10 2008, 13:24

Цитата(dxp @ Jun 10 2008, 16:07) *
У вас с этим проблемы?

Именно с этим. Осваиваю командную строку. Делаю много ошибок. Командная строка ругается, Build отображает зюки. Вынужден после каждой ошибки запускать cmd, и выяснять причину. А в cmd страсть как неудобно. Но зато по-русски.
ЗЫ Я тут подумал... Build не причем, он отображает то, чем его кормит cmd. Так что вопрос не по слику. Прошу прощения за bb-offtopic.gif

Автор: andrew_b Sep 11 2008, 10:18

http://electronix.ru/redirect.php?http://www.vim.org/scripts/script.php?script_id=2372

Support Automatic functions like Emacs for Verilog HDL

Feature list:
1) Auto Argument (the same as Emacs)
2) Auto Instance (power than Emacs)
3) Auto Define Signals
4) Auto unit delay "<=" to "<= #`FFD"
5) Auto always block
6) Auto header
7) Auto comment

Автор: CaPpuCcino Nov 18 2008, 05:08

долго мучился пытаясь выбрать из предложенных вариантов бесплатный редактор с наибольшим количеством прибамбасов и простотой изучения - метался между NotePad++ и ConText (был ещё вариант AkelPad) настораживала сложность изучения плагинов для расширения функц.возм. (плохо документированы). в итоге начал копать NotePad++ как продукт с открытым кодом и докапался до технологии Scintilla, на которой он построен и наконец просто установил SciTE (та же комманда разработчиков, которая делала эту Scintilla). подержка продукта намного лучше (постоянные обновления сборки), есть русскоязычная комманда, развивающая русскую версию сборки (есть качественные отличия от оригинала, т.е. несколько комманд работают параллельно, а финальный релиз сливают из лучшего, что получилось), большой форум на русском, который помогает разобраться с тем, что в NotePad++ без поллитры не разобраться, существует документация (тож на русском).
в итоге для того чтобы разобраться как делаются новосинтаксические языковые настройки ушло около 2-ух часов.
видимые(мне) плюсы редактора:
настройка подсветки синтаксиса (под язык);
настраиваемые шаблоны и автозавершения (в том числе и многострочные);
составление списка функций/процедур (можно расширять до классов, переменных, модулей и т.д.);
свёртка блоков;
ну и все остальные прибамбасы современных текстовых редакторов типа преобразование текста, поиск по шаблону и пр. (вообще это всё расширяемо через собственный скриптовый язык).
в общем останавливаюсь на нём. буду копать и настраивать под SV
ЗЫ: естественно многие принципы у них схожи с NotePad++,т.к. на едином компоненте построены (Scintilla)

Автор: Vadim Nov 19 2008, 19:13

Хорошая вещь, однако. Спасибо за наводку. Давно уже мне был нужен дополнительный редактор, но все никак не мог выбрать - под мои запросы ничего не подходило. А SciTe я скачал вчера, сегодня поразбирался и настроил - и проблема решена!!!
beer.gif

Автор: CaPpuCcino Nov 19 2008, 20:18

Цитата(Vadim @ Nov 19 2008, 23:13) *

мне особенно понравилась вставка шаблонов - несколько строк в файле .abbrev и экономишь кучу времени на вбивание заклинаний и при использовании многострочных шаблонов код становится более единообразным по стилю smile.gif

Автор: CaPpuCcino Nov 21 2008, 16:39

сделал настройки для SystemVerilog под SciTe:
изменения в глобальном файле настроек SciTeGlobal.properties коснулись подключения нужного хайлайтера и отключения всех ненужных хайлайтеров языков и некоторых настроек самого окна редактора (отключён принудительный перенос, боковая панель изначально неактивна (вкл. кнопкой))
настройка хайлайтера SystemVerilog в локальном файле настроек verilog.properties:
включены все ключевые слова SystemVeriloga (подсветка светло-синим) при этом ключевые слова разделены на основные ключевые слова типа function bit assign и т.д. и ключевые слова модификаторы типа local, static, var, unsigned, unique, private, input и т.д. отличающиеся наклонным шрифтом.
включены все системные функции -ораньжевый цвет, жирный шрифт + подсказка уже написана для парочки функций печати (файл verilog.api; подсказка срабатыает после открытия первой скобки"(" ).
разными цветами также подсвечены "строки"-красный наклонный, операторы - ораньжевый, числа - красный обычный, подсвечиваются парные скобы (){}[]
я использовал стиль выравнивания по принципу новый блок-новая строка (дело моего эст.вкуса)
т.е. вида

Код
function
  if ()
    begin
       ...
       for ()
         a+=b[];
       ...
    end
  else
    begin
       ...
    end
endfunction

в файле verilog.abbrev добавлены как однострочные "for (|;;)"
так и многострочные типа module, function, struct шаблоны (срабатывают по окончании соответствующих ключ.слов и нажатии Ctrl+B )
было большое желание индексировать все переменные и процедуры, но после 3 часов разбора полётов оказалось, что стандартная компоновка встроенного интерпретатора Lua не позволяет работать со сколько-нибудь сложными регулярными выражениями и что-нибудь действительно полезного в этом направлении с таким инструментарием добиться трудно (может быть пересобиру ядро как-нить с дополнением этой функции на Сях, но не ручаюсь)

 SciTEGlobal.rar ( 18.61 килобайт ) : 79
 verilog.rar ( 3.15 килобайт ) : 82
 verilog.abbrev.rar ( 637 байт ) : 81
 verilog.api.rar ( 656 байт ) : 75
 

Автор: Vadim Nov 28 2008, 12:22

Про слик и sv. Сохраните свои sv-файлы с расширением *.tagdoc, добавьте их в используемый вами тэг, и жить станет легче smile.gif

Автор: cms Dec 10 2008, 16:44

Добавил новые кейворды и функции в verilog-колорер http://electronix.ru/redirect.php?http://pnotepad.org.

Теперь мой любимый редактор умеет подсвечивать классы, always_ff и прочии SV-добавки.

кому надо - sv.scheme в аттаче. Скопируйте его в /schemes и перезапустите PN.

Для файловых ассоциаций можно там же сразу поправить /schemes/extmap.dat

Digitally yours,
cms

 sv.zip ( 1.85 килобайт ) : 98
 

Автор: Evil Archer Jan 22 2009, 15:49

Цитата(Escorial @ Apr 9 2008, 00:37) *
Поставил Eclipse IDE, а для SystemVerilog подключил к нему плагин DVT - теперь не нарадуюсь. Жаль плагин триальный на 6 месяцев присылают ключ.


Похоже, что это единственная вменяемая IDE для SV на сегодня, жаль что нет лекарства: цены кусаются. crying.gif

Автор: CaPpuCcino Jan 22 2009, 22:00

Цитата(Evil Archer @ Jan 22 2009, 19:49) *
Похоже, что это единственная вменяемая IDE для SV на сегодня

а что значит "вменяемая IDE"? сейчас многие редакторы могут вызывать другие программы, есть такие которые имеют встроенные скриптовые движки (по крайней мере для свободного ПО тенденция определённо такова, только эти редакторы нужно предварительно соответствующе настроить и будет много счастья).

Автор: Evil Archer Jan 23 2009, 00:54

Цитата(CaPpuCcino @ Jan 23 2009, 01:00) *
а что значит "вменяемая IDE"? сейчас многие редакторы могут вызывать другие программы, есть такие которые имеют встроенные скриптовые движки (по крайней мере для свободного ПО тенденция определённо такова, только эти редакторы нужно предварительно соответствующе настроить и будет много счастья).


Дело не только в вызове внешних тулзов. IDE -это еще и поддержка шаблонов языка, автокомплит, экстракция и подсветка пользовательских типов данных, функций, классов и автодокументирование, быстрая навигация по коду, поддержка файловой структуры проекта ну и в контексте HDL дерева инстанций, плюс всякие вкусности а-ля IntelliSense как MS VisualStudio.

Автор: Vadim Feb 8 2009, 15:21

С нетерпением ждем появления SlickEdit 2009 smile.gif

Цитата
System Verilog Language Support
SlickEdit now provides language support for System Verilog including:

• Color Coding - assign different colors to identifiers, functions, strings, comments, etc. See Color Coding for information.
• List Members - displays a list of members for classes, interfaces, structs, etc. when you type a Dot (dereference character). See List Members
• Parameter Info - displays the prototype for a function when you type the function operator, such as an open parenthesis. See Parameter Information
• Source Code Navigation and Lookup - jump from a symbol to its defintion using Ctrl +Dot (in the CUA emulation). Display a list of references and optionally jump to the first reference using Ctrl +/ In both cases, you can return to the original location using Ctrl +Comma . For more information see Code Navigation.
• Syntax Expansion - saves you typing by expanding block statements like if and for, after you type the initial keyword followed by a space. See Syntax Expansion for more information.
• Syntax Indenting - automatically indents each line as you type according the syntax of your code. For example, lines contained in block structures, like if and for, are indented by the amount you specify in the Options. See Syntax Indent for more information.

http://electronix.ru/redirect.php?http://community.slickedit.com/index.php?topic=4294.msg17628

Автор: Vadim Mar 13 2009, 10:35

Бесплатный достойный внимания текстовый редактор:
http://electronix.ru/redirect.php?http://editra.org/
Приятно удивило, что язык System Verilog в нем имеется по умолчанию и он умеет распознавать функции. Больше ничего не знаю, глубоко не копал.

Автор: CaPpuCcino Mar 16 2009, 05:02

Цитата(Vadim @ Mar 13 2009, 14:35) *
Бесплатный достойный внимания текстовый редактор

приятно порадовала его расширяемость Питоном, у Scintilla-подобных редакторов скриптовый язык Lua сильно убог. попробую на досуге.

Автор: Vadim Mar 16 2009, 07:04

Подружить его с SUSE 11 мне не удалось. Глючит, окна размножаются и кричит о какой-то ошибке. Обидно, блин, под виндой все нормально. Я новичок в мире линукс, может еще и разберусь.

Автор: CaPpuCcino Mar 20 2009, 01:51

Цитата(Vadim @ Mar 16 2009, 10:04) *

что-то мне так и не удалось запустить ни один плагин (в частности хотел оценить codebrowser). подскажите, что я упустил из виду: Инструменты -> Управление плагинами -> codebrowser (галочка), перезапуск, Вид->Shelf->Показывать полку. и никаких эффектов кроме серого окна shelf под полем окна редактирования (файл на соответствующем языке открыт)

Автор: des00 Mar 20 2009, 04:43

Цитата(Vadim @ Mar 13 2009, 04:35) *
Бесплатный достойный внимания текстовый редактор:
http://electronix.ru/redirect.php?http://editra.org/
Приятно удивило, что язык System Verilog в нем имеется по умолчанию и он умеет распознавать функции. Больше ничего не знаю, глубоко не копал.


без интерактивных тегов это не серьезно, порадовало сворачивание кода.

надо ждать 2009 слик

Автор: Vadim Mar 20 2009, 08:12

Цитата(CaPpuCcino @ Mar 20 2009, 04:51) *
Вид->Shelf->Показывать полку

Вид->Просмотр кода

Автор: CaPpuCcino Mar 20 2009, 15:02

Цитата(Vadim @ Mar 20 2009, 12:12) *
Вид->Просмотр кода

спасибо! неправильно понял документацию (многабукф)
это круто! такой навигатор по коду для SV - как раз то что мне не хватало в SciTe. пытался в SciTe написать свой для SV, но деревянность регулярных выражений в Lua просто не позволяет сделать что-либо грамотное.

Автор: yes Mar 23 2009, 12:00

прикольно, что про emacs/xemacs с verilog-mode (verilog.com) никто не написал.

последние версии вполне поддерживают sv, например .* в портах может "показать", не записывая в файл
ну а auto_template я думаю еще долго в стандарте не появятся (теоретически можно, наверно, generate-ом заменить, но букаф больше писать)
да и AUTORESET AUTOWIRE AUTOREG я часто использую

btw: indent region вполне замечательно работает, так что beautify вроде как не нужен

Автор: Vadim Mar 25 2009, 11:41

И не мудрено. Где-то вычитал, что emacs имеет два режима работы - в первом он бибикает, а во-втором все портит smile.gif Сам я его увидел вживую впервые совсем недавно, при попытке перехода на линукс. Пробовал даже выполнить туториал. Пальцы ломаются и мозги выворачиваются smile.gif Понимаю, что круто, мощно, старо, религиозно и все такое. Но нафига он юзеру, привыкшему к виндовым редакторам с их дружелюбием к начинающим? Ведь при всей его мощи, как ни крути, недостаток у него имеется - невозможно начать работу, не изучив его. Не знаю, сколько это займет времени. Скорее всего, много smile.gif А в упомянутых в топике редакторах можно начать работать в следующую минуту после установки smile.gif
Имхо.

Автор: yes Mar 27 2009, 11:05

Цитата(Vadim @ Mar 25 2009, 14:41) *
И не мудрено. Где-то вычитал, что emacs имеет два режима работы - в первом он бибикает, а во-втором все портит smile.gif Сам я его увидел вживую впервые совсем недавно, при попытке перехода на линукс. Пробовал даже выполнить туториал. Пальцы ломаются и мозги выворачиваются smile.gif Понимаю, что круто, мощно, старо, религиозно и все такое. Но нафига он юзеру, привыкшему к виндовым редакторам с их дружелюбием к начинающим? Ведь при всей его мощи, как ни крути, недостаток у него имеется - невозможно начать работу, не изучив его. Не знаю, сколько это займет времени. Скорее всего, много smile.gif А в упомянутых в топике редакторах можно начать работать в следующую минуту после установки smile.gif
Имхо.


да ладно там религиозное мракобесие проявлять, то что на ворд непохоже, то непонятно smile.gif

поставить из цигвина http://electronix.ru/redirect.php?http://cygwin.com/ xemacs и текст в нем печатать сможет наверно и секретутка, и знание команд (типа где на клаве meta key) совершенно не нужно - основные фунции (а для VHDL или Verilog моды все) доступны через менюшку

там есть возможность что-то настроить под себя, но и видоуз подход - использовать то-что есть - вполне работает

большой плюс - что на любой вопрос есть ответ, то есть набив в гугль копи-пастом сообщение ошибки или сформулировав проблему по первой ссылке обычно кусок кода, который ее решает...

в дистрибутиве правда старые моды - но скачать новые и поставить их вобщем-то не сложно

Автор: des00 Mar 29 2009, 16:51

посмотрел новый слик, блин все равно с косяками разбирает файл, причем если систем верилоговский разбирает еще более менее, то с верилоговским такая засада %(

но вообще существенный прогресс налицо %)

Автор: Vadim Mar 30 2009, 07:00

И Editra подтянулась smile.gif Уже пару дней как обрелизилась. Убрали баги со сворачиванием/разворачиванием окна и запоминанием последнего положения. И это хорошо, ибо раздражало. Пишут, что в линукс убрали баг с обновлением окна codebrowser. Но пока не проверял. Плохо, что по-прежнему не понимает два монитора - упорно разворачивается только на основном sad.gif
ЗЫ Ну а по поводу слика - лучше него может быть только новый релиз слика, что мы и имеем. С нетерпением ждем его появления в известном месте smile.gif
ЗЫЫ едитру подружить с линукс удалось, нужно было, оказывается, поставить волшебную галочку в настройках "Отключить отчет об ошибках". А вот со сликом беда - изумительная под винду прога под линуксом превратилась в какое-то чудовище и вообще отказывается работать. Постоянно ругается на какие-то файлы sad.gif

Автор: Vadim Mar 31 2009, 05:58

Надо признать, едитра кривовата. Под линуксом сломали диалог настроек, который прекрасно работал в прошлом релизе. Плюс невозможно в диалоге открытия выбрать скрытый файл или папку. Облом.

Автор: Victor® Apr 28 2009, 18:25

Здравствуйте!
Раньше использовал Context.
Но проект этот умер... а жаль.
Перешел на Programmer`s Notepad 2

И очень доволен.
Вот, например, скрин VHDL с результатом проверки синтаксиса
(используется xst)

Если кому-то интересно - могу продолжить...

-- Регардов!

 

Автор: glock17 Apr 29 2009, 04:49

Цитата(Victor® @ Apr 29 2009, 03:25) *
Здравствуйте!
Раньше использовал Context.
Но проект этот умер... а жаль.
Перешел на Programmer`s Notepad 2

И очень доволен.
Вот, например, скрин VHDL с результатом проверки синтаксиса
(используется xst)

Если кому-то интересно - могу продолжить...

-- Регардов!


Интересно. Продолжайте. А то внятной документации на этот редактор нет.

Сравниваю функциональность PM с UltraEdit Studio...
Редактор на вид действительно ничего (для бесплатного).
Из достоинств:
- грузится заметно быстрее UES
- мне понравилось как реализован список тэгов, позволяющий в Verilog дизайне отобразить объекты по группам.
- легко затачивается под привычный вид
Из недостатков:
- autocomplete работает только для ключевых слов языка (в UES еще и для уже объявленных объектов)
- не нашел я в нем режим типа ультраедитовского Column Mode (а жаль, очень удобно)
- создал свой Text Clip, поместил в папку с клипами - PN его в упор не видит
- не понятно, как подключить сторонний парсер (но это, вероятно, дело не в редакторе, а в юзере)
- если в Verilog-файле объект имеет атрибут signed, то в списке тэгов вместо имени объекта отображается "signed"
- и еще несколько мелких недочетов (это пока... играюсь с ним первый день)

Автор: Victor® Apr 29 2009, 05:54

Цитата(glock17 @ Apr 29 2009, 07:49) *
Интересно. Продолжайте. А то внятной документации на этот редактор нет.

Сравниваю функциональность PM с UltraEdit Studio...
Редактор на вид действительно ничего (для бесплатного).
Из достоинств:
- грузится заметно быстрее UES
- мне понравилось как реализован список тэгов, позволяющий в Verilog дизайне отобразить объекты по группам.
- легко затачивается под привычный вид
Из недостатков:
- autocomplete работает только для ключевых слов языка (в UES еще и для уже объявленных объектов)
- не нашел я в нем режим типа ультраедитовского Column Mode (а жаль, очень удобно)
- создал свой Text Clip, поместил в папку с клипами - PN его в упор не видит
- не понятно, как подключить сторонний парсер (но это, вероятно, дело не в редакторе, а в юзере)
- если в Verilog-файле объект имеет атрибут signed, то в списке тэгов вместо имени объекта отображается "signed"
- и еще несколько мелких недочетов (это пока... играюсь с ним первый день)


1) По поводу TextClips (ответ разработчика с форума)

"There's a small problem with the current text clips system in 2.0.7. We now cache all the clips to improve performance and allow editing for the code templates. Unfortunately we currently miss new clip files as a result. While I haven't worked out the right way to solve this yet, you can delete the cache file to get your clips added:

XP: c:\Documents and Settings\[user]\Application Data\Echo Software\PN2\installClipCache.xml
Vista: C:\Users\[user]\AppData\Roaming\Echo Software\PN2"

Сами клипы рекомендуют создавать с помощью TextClip Creator
http://electronix.ru/redirect.php?http://www.pnotepad.org/files/textclipcreator.zip

2) По поводу стороннего парсера
Настраивается все в Tools - Options - Tools

см. скриншот
на нем, кстати уже видно подключенный клип

-- Успехов

 

Автор: glock17 Apr 29 2009, 07:10

Цитата(Victor® @ Apr 29 2009, 14:54) *
1) По поводу TextClips (ответ разработчика с форума)

"There's a small problem with the current text clips system in 2.0.7. We now cache all the clips to improve performance and allow editing for the code templates. Unfortunately we currently miss new clip files as a result. While I haven't worked out the right way to solve this yet, you can delete the cache file to get your clips added:

XP: c:\Documents and Settings\[user]\Application Data\Echo Software\PN2\installClipCache.xml
Vista: C:\Users\[user]\AppData\Roaming\Echo Software\PN2"

Сами клипы рекомендуют создавать с помощью TextClip Creator
http://electronix.ru/redirect.php?http://www.pnotepad.org/files/textclipcreator.zip

2) По поводу стороннего парсера
Настраивается все в Tools - Options - Tools

см. скриншот
на нем, кстати уже видно подключенный клип

-- Успехов


Спасибо, оперативно.

У меня еще вопрос: можно поинтересоваться содержимым файла syntax_check.scr? А то в запарке читать XST User Guide пока нет времени, а попробовать хочется (хочу заставить UEStudio сделать то же самое).

Автор: Victor® Apr 29 2009, 08:01

Цитата(glock17 @ Apr 29 2009, 10:10) *
Спасибо, оперативно.

У меня еще вопрос: можно поинтересоваться содержимым файла syntax_check.scr? А то в запарке читать XST User Guide пока нет времени, а попробовать хочется (хочу заставить UEStudio сделать то же самое).


syntax_check.scr

elaborate
-ifn syntax_check.prj


syntax_check.prj

# Place actual VHDL design file(s)
vhdl work "addr_cnt.vhd"


Если в проекте несколько файлов, то они добавляются в PRJ так:
vhdl work "you_file_name_1.vhd"
vhdl work "you_file_name_2.vhd"
vhdl work "you_file_name_N.vhd"

Я более простого пути не нашел (переписывался с Xilinx support, они предложили TCL,
но скрипты надо запускать все равно с ISE)

Я просто хотел сделать проверку синтаксиса, без запуска ISE
Кстати, таким образом можно и полностью синтезировать проект (с помощью xst).
Описано все это в "XST User Guide" -> "XST Command Line Mode"

-- Успехов

Автор: Vadim May 15 2009, 06:05

Цитата(yes @ Mar 23 2009, 15:00) *
прикольно, что про emacs/xemacs с verilog-mode (verilog.com) никто не написал.

Я напишу smile.gif Пересаживаюсь на emacs. Не могу никак разобраться с verilog-mode. Он живет своей жизнью, умничает, и не дает работать. Нажимаю tab - игнорирует, enter - форматирует кусок кода и т.д. Я весь FAQ перерыл, сделал в ~/.emacs все, что там советовали по этому поводу. Не помогло.
Как заставить его помогать мне, только когда я попрошу (нажму соответствующее сочетание клавиш), а не постоянно?
help.gif
ЗЫ Пробовал vlog-mode, вроде получше, умничает меньше, но не понимает SV. Толком не разобрался с ним, т.к. наступило утро.

Автор: CaPpuCcino Jun 26 2009, 18:47

5 дней назад обновил SciTe до 1.78.64Ru (май 2009) под WinXP. сегодня поймал первый глюк. по визуальным ощущениям программа залезла в видеобуфер не своего окна (не знаю возможно ли такое в защищённом режиме), но зависания не призошло. при выходе данные редактируемых файлов потеряны не были - сработало автоматическое сохранение по закрытию.
из замеченых косяков - пропала возможность закрытия отдельного редактируемого документа на вкладке документа и через "крестик" в углу окна представления. закрытие возможно через контекстное меню в поле представления документа или через главное меню (ассоциированая горячая клавиша работает)
будем посмотреть дальше.

Автор: Vadim Jul 6 2009, 15:22

Еще один представитель славного семейства hdl-редакторов - Scriptum:
http://electronix.ru/redirect.php?http://www.hdlworks.com/products/scriptum/index.html
Входит в состав какой-то невнятной и платной среды проектирования.
Бесплатен, есть под windows и linux.
Первое впечатление - категорически не понравилась "плата за бесплатность" - какой-то неубирающийся наглый рекламный мультик.

Автор: CaPpuCcino Aug 5 2009, 10:39

Цитата(Vadim @ Feb 8 2009, 19:21) *
С нетерпением ждем появления SlickEdit 2009 smile.gif

здесь говорят, что SlickEdit 2009 здорово продвинулся с SV http://electronix.ru/redirect.php?http://svug.org/Forum/tabid/57/forumid/33/postid/989/view/topic/Default.aspx
особенно приятно, что они быстрые переходы к объявлениям реализовали. есть кто-нибудь попробовавший? впечатления?

Автор: Vadim Aug 5 2009, 10:47

По SV впечатления самые положительные. По сравнению с 2008 - небо и земля. Есть косяки, Денис об этом уже говорил, но я их не ощущал, не настолько крут smile.gif
Однако макросы, написанные мной и прекрасно работавшие в 2008, в 2009 работают неправильно. Следствие показало, что причина в разном результате выполнения Slick-C функций в этих двух версиях. А это уже не смешно. Совершенно не улыбается, подсев на некую прогу(даже такую замечательную, как Slick), в один прекрасный день обнаружить вместо удобной и отлаженной под свои нужды среды разработки разбитое корыто. Окончательно взбесил Slick под линукс - там вообще нечто невнятное, постоянные падения и зависоны. Но что интересно, макросы там работают правильно.
Перехожу на emacs.

Автор: CaPpuCcino Aug 5 2009, 11:22

Цитата(Vadim @ Aug 5 2009, 13:47) *
Есть косяки, Денис об этом уже говорил, но я их не ощущал, не настолько крут smile.gif

упс, сорри, не заметил - в отпуске в это время был.
в остальном спб за описание

Автор: dxp Aug 5 2009, 11:54

Цитата(CaPpuCcino @ Aug 5 2009, 17:39) *
здесь говорят, что SlickEdit 2009 здорово продвинулся с SV http://electronix.ru/redirect.php?http://svug.org/Forum/tabid/57/forumid/33/postid/989/view/topic/Default.aspx
особенно приятно, что они быстрые переходы к объявлениям реализовали. есть кто-нибудь попробовавший? впечатления?

Да, переходы к объявлениям работают, что есть очень хорошо. И прочий Context Tagging - например, после имени структуры набираешь ".", появляется всплывающее окошко с именами членов структуры. В общем, все как в том же С++, где все это давно работает. Интерфейсы, правда, не понимает в этом смысле. Работать стало комфортнее. И раскраску синтаксиса сделали - как новогодняя елка (но это можно отключить). smile.gif

Цитата(Vadim @ Aug 5 2009, 17:47) *
Однако макросы, написанные мной и прекрасно работавшие в 2008, в 2009 работают неправильно. Следствие показало, что причина в разном результате выполнения Slick-C функций в этих двух версиях. А это уже не смешно. Совершенно не улыбается, подсев на некую прогу(даже такую замечательную, как Slick), в один прекрасный день обнаружить вместо удобной и отлаженной под свои нужды среды разработки разбитое корыто.

Хм, я такого не обнаружил. Моих пара скромных макросов работает без замечаний.

Автор: ArMouReR Aug 5 2009, 15:39

Цитата(RHnd @ Jul 15 2007, 22:23) *
А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.
Кстати, поставил сейчас ConTEXT - а что там за функции пользователя (F9-F12)?
И вопрос по Notepad++ - можно ли там комментирование блока на хоткей поставить?

TextPad хорошо с этим справляется + у него есть подсветки для всех возможный языков...

Очень давно им пользуюсь в том числе и для Verilogа....

А еще есть такая класная штука Verilog-mode http://electronix.ru/redirect.php?http://www.verilog.com/verilog-mode.html

В принципе это для емакса... но емакс я не перевариваю, по этому пользуюсь этой штукой из коммандной строки.

Очень удобно. Если кому интересно могу подкинуть готовый скрипт для линукса.

С этой штукой интеграция топ левел делается за 5 мин. Очень советую попробовать.
Обычно тот кто один раз подсел больше слезть не может rolleyes.gif
Очень сильно время экономит....

Автор: Кнкн Aug 6 2009, 06:04

Цитата(ArMouReR @ Aug 5 2009, 19:39) *
В принципе это для емакса... но емакс я не перевариваю, по этому пользуюсь этой штукой из коммандной строки.

Очень удобно. Если кому интересно могу подкинуть готовый скрипт для линукса.


Подкиньте, пожалуйста.

Автор: des00 Aug 6 2009, 06:58

Цитата(dxp @ Aug 5 2009, 06:54) *
Работать стало комфортнее.


да работать намного удобнее, но есть еще куда работать. Теги иногда слетают, порты модулей при инстансе берутся от других модулей, не всегда парсятся сигналы и порты, нет beautify и т.д. Но по сравнению с 2008 сликом прогресс существенно пошел вперед smile.gif

Автор: ArMouReR Aug 6 2009, 09:07

Цитата(Кнкн @ Aug 6 2009, 09:04) *
Подкиньте, пожалуйста.


Подкидываю biggrin.gif

В файле небольшой скрипт написанный на Bash (Linux). (Расширение txt надо убрать и сделать executable)

В качестве параметров ему надо дать либо имя файла либо имя директории.

Ну естесвенно нужно установить verilog-mode как рассказано на сайте.

У меня он стоит в /shared_01/home/michael... Вам нужно будет поменять ето на свой путь...

Будут вопросы - спрашивайте....

 ra.txt ( 3.1 килобайт ) : 95
 

Автор: Vadim Aug 6 2009, 09:35

ArMouReR, завидую, что Вам удалось подружиться с verilog-mode. В отлчие от Вас мне нравится emacs и по мере его изучения все больше и больше, а вот в verilog-mode так и не въехал. Какой-то он сам себе на уме, самостоятельно какие-то выравнивания непонятные делает. Попытки настроить его под свои предпочтения результатов пока не дали. Но я не отчаиваюсь, на днях предприму третью решительную попытку smile.gif

Автор: Кнкн Aug 6 2009, 11:04

Цитата(ArMouReR @ Aug 6 2009, 13:07) *
Подкидываю biggrin.gif

Будут вопросы - спрашивайте....


Спасибо!

Автор: ArMouReR Aug 6 2009, 13:16

Vadim,
А вы не заморачивайтесь с его выравниванием и т.д.
Отключите все ети опции в Emacs... Просто используйте его AUTOS....

Автор: Vadim Aug 6 2009, 14:22

Цитата(ArMouReR @ Aug 6 2009, 16:16) *
Отключите все ети опции в Emacs...

Вот-вот, все, что нашел, отключил. Все равно умничает crying.gif

Автор: Intekus Oct 27 2009, 09:27

Доработал настройки CaPpuCcino для SV под SciTE.
+:
Для SV поддерживается раскраска, отступы, все ключевые слова.
Прописаны команды на симуляцию (нужные bat-ники с вызовами vlog / vsim / что нужно, предлагается писать под себя самостоятельно).
Полный список изменений - в readme.txt
-:
ModelSim выводит ошибки в формате, не поддерживаемом SciTE - на дабл-клик не реагирует. Решения - либо переформатировать вывод, либо подкручивать скрипты (а может, и ядро SciTE).
Файл аббревиатур, как и скрипты симуляции, активно дорабатываю в процессе.
P. S. Посмотрел ещё Слик (SlickEdit) и Editra - подтверждаю сказанное про них в этой ветке выше. У Editra - сообщества, особенно русскоязычного, вокруг неё пока почти нет. Vi / Emacs пока не пробовал smile.gif
Итого ИМХО - если нужен альтернативный редактор SV с обязательной лицензионностью (и на него нет $639), или просто привычен unix-way с его клавиатурностью и тотально текстовыми настройками - SciTE; иначе - SlickEdit. На Editr'у пока буду смотреть - кстати, она кроссплатформенная, как и оба вышеупомянутых.

 4SciTE.zip ( 27.17 килобайт ) : 66
 

Автор: CaPpuCcino Oct 28 2009, 09:42

ну, ловите тогда и от меня небольшой подгон для SciTe:
наконец-то нашёл как настроить кнопки "удобного" поиска (функция быстрого перехода на следующее и предыдущее вхождение выделенного слова почему-то не включена ни в один релиз и особо не афишируется). именно её я и добавил в панель toolbar редактора + подсветка всех вхождений выделеного слова и отмена подсветки.
итак кнопки поиска сгруппированы след. образом //вызов диалога поиска/переход на следующее вхождение выделеного/переход на предыдущее вхождение выделеного/диалог замены//подсветить все вхождения выделенного/отменить все подсветки выделенного//
настройки панели инструментов находятся в подкаталоге ../toolbar/ (при этом в разделе ToolBar файла SciTeGlobal.properties объект user.toolbar.* можно просто удалить, т.к. пользовательский toolbar будет импортироваться из "import home\toolbar_cool.properties")
файл для примера приведён ниже

Цитата(Intekus @ Oct 27 2009, 12:27) *
ModelSim выводит ошибки в формате, не поддерживаемом SciTE - на дабл-клик не реагирует. Решения - либо переформатировать вывод, либо подкручивать скрипты (а может, и ядро SciTE).

а вы не могли бы сказать что у вас в файле D:\p\dev\Ver3\srcs\Sim_Cmds\sim_gen.cmd нарисовано?

 toolbar_cool.rar ( 1.59 килобайт ) : 56
 

Автор: CaPpuCcino Oct 28 2009, 12:30

Цитата(CaPpuCcino @ Nov 21 2008, 19:39) *
было большое желание индексировать все переменные и процедуры, но после 3 часов разбора полётов оказалось, что стандартная компоновка встроенного интерпретатора Lua не позволяет работать со сколько-нибудь сложными регулярными выражениями и что-нибудь действительно полезного в этом направлении с таким инструментарием добиться трудно

сейчас обнаружил одну очень важную вещь в отношении этого пункта: (не знаю с какого релиза, но) к SciTe прикрутили, реализацию PEG(Parsing expression grammar) на Lua (lpeg), а это очень серьёзная вещь и все претензии в отношении регулярных выражений теперь к языку Lua снимаются (попробую в ближайшее время покурить заново индексирование переменных)

Автор: Intekus Oct 30 2009, 10:37

Цитата(CaPpuCcino @ Oct 28 2009, 12:42) *
подсветить все вхождения выделенного/отменить все подсветки выделенного//

Кнопка "Highhight identical text" не работает, похоже, соответствующего скрипта в текущей версии уже вообще нет. Кстати, а какая у Вас версия?
Цитата(CaPpuCcino @ Oct 28 2009, 12:42) *
файл для примера приведён ниже

Не заработало в используемой, самой новой из стабильных на текущий момент, версии 1.79.66.
Исправил путь к библиотеке, поменял пару пиктограмм. Видимо, так как команды эти по умолчанию не используются, в dll с новыми значками их решили не рисовать вообще, и на панели были пустые места. Ещё раскоментировал глобальные настройки, файл аббревиатур и добавление в "избранное" - кому не надо, можно вернуть обратно.
Цитата(CaPpuCcino @ Oct 28 2009, 12:42) *
а вы не могли бы сказать что у вас в файле D:\p\dev\Ver3\srcs\Sim_Cmds\sim_gen.cmd нарисовано?

Там строки поиска корня текущего проекта. Предполагается, что редактируемый файл лежит в одном из его подкаталогов:
Код
@ECHO off
REM Traversing up to 5 dirs up to find project dir (=containing any *.*ise files):
FOR /L %%i IN (1,1,5) DO (CD ".." & (IF EXIST *.*ise GOTO found))
ECHO Project dir (containing *.*ise) not found on 5 dirs up from current!
GOTO exit
:found
CALL .\user\test\cmd\sim.cmd %1 %2 %3
:exit

А в sim.cmd уже скрипт конкретно для него. Сразу предвижу вопрос "а что там?" smile.gif Там вызовы vlib, vlog и vsim в консольном режиме. В настоящий момент файл активно дорабатывается, содержимое часто меняется (даже формат вызова уже другой, не как в verilog.properties из выложенного мной 27 октября). Если интересно - отпишусь (только тогда в теме про custom-do-файлы в этом же подфоруме), как оно утрясётся.

 toolbar_cool.zip ( 1.69 килобайт ) : 55
 

Автор: CaPpuCcino Oct 30 2009, 16:35

Цитата(Intekus @ Oct 30 2009, 14:37) *
Не заработало в используемой, самой новой из стабильных на текущий момент, версии 1.79.66.

ага, возможно именно поэтому и не работает - у меня сейчас 2.0.68RU (на сайте она уже заменена на 2.1.68 BETA), но можете ставить стокойно - релиз под индексом 2 работает намного устойчивее предыдущей версии - завалить ещё не удавалось, в то время как 1.7х у меня периодически падал при замене по в нескольких файлах

Цитата(Intekus @ Oct 30 2009, 14:37) *
Если интересно - отпишусь (только тогда в теме про custom-do-файлы в этом же подфоруме), как оно утрясётся.

интересно. бу ждать.

Автор: cyclop Nov 1 2009, 17:57

Цитата(cyclop @ Jun 5 2008, 19:26) *
Для notepad++ создал verilog.api. Ключевые слова - из стандарта Verilog 2001. ...

В новых версиях Notepad++ для работы функции автозавершения вместо файла verilog.api нужно будет использовать verilog.xml, который почему-то не подкладывают в отличие от VHDL-кого. Пришлось перебивать.

 verilog.xml ( 4.72 килобайт ) : 162
 

Автор: des00 Nov 13 2009, 12:14

пока проект был ~200 файлов все было нормально, но сейчас около ~400 файлови и слик 14.0.2.2 периодически валиться (в среднем раза 4-8 в день) на SV проекте. %( Валиться скорее всего при поиске тегов. Это только мне так "повезло" или действительно сей эффект имеет место быть ?

Автор: CaPpuCcino Nov 18 2009, 02:49

Цитата(CaPpuCcino @ Oct 28 2009, 15:30) *
сейчас обнаружил одну очень важную вещь в отношении этого пункта: (не знаю с какого релиза, но) к SciTe прикрутили, реализацию PEG(Parsing expression grammar) на Lua (lpeg), а это очень серьёзная вещь и все претензии в отношении регулярных выражений теперь к языку Lua снимаются (попробую в ближайшее время покурить заново индексирование переменных)

ну, что же, дорогие мои, как и обещал прикрутил индексацию объявлений переменных и объявлений функций/задач для SystemVeriloga к текстовому редактору SciTe (версия пока пробная - чисто для фидбака со стороны писателей на SV).
что хотелось:
хотелось иметь удобство навигации по коду как у хороших сред разработки - для дезайнера имеющего большой код часто требуется моментально перейти к объявлению переменной или функции, чтобы уточнить какого данная переменная типа или что за заголовок/тело процедуры (при этом если воспользоваться просто поиском по коду, то прежде чем добраться до объявления обязательно наткнёшься на пару инстансов, функция подсветки аналогичного текста, хоть и удобнее, но также обходной способ). для этого в средах разработки часто где-нить с боку есть список всех переменных, по щелчку на элементе которого переходишь к объявлению. вот это бы собственно и хотелось от бесплатного редактора.
что получили:
я дополнил и доработал Scite мульку под названием SideBar (предворительный вариант). написал грамматику для SV (правила декларации переменных и процедур в самых извращённых их формах за исключением неявных типов для var и аргументов и портов - это доделаю в ближ будущем), разбил боковую панель на 2 соответствующих списка. добавил функцию подсветки элемента в списках по двойному щелчку по переменной в тексте.
что можно делать:
двойной щелчёк по боковым спискам даёт переход к объявелению; двойной щелчёк по инстансу переменной в поле редактора с удерживаемым шифтом - аналогичный переход, control +" ," - обратный переход к месту прыжка; двойной щелчёк по инстансу в поле редактора приводит к тому, что, если существует объявление данной переменной/функции, то в списке оно будет подсвечено (есть одна досадная вещь - подсветка будет видна только после нажатие на заголовок окна списка - это не баг, это фича, т.е. неполная функциональность - думаю её скоро расширят)
недостатки:
к сожалению SideBar построена с использованием gui.dll с очень спартанской функциональностью (так например сделать данный список деревом не представляется возможности, что не добавляет эстетики, ожидаю, что в ближайших релизах функциональность этой библиотеки бу расширена)

это пробный релиз - в ближайшем будущем добавлю декларацию портов, параметров и аргументов процедур, объявления пользовательских типов.
мне бы хотелось услышать ваши пожелания по удобству использования. например интересно стоит ли делать поиск деклараций только в текущем файле или организовывать что-то типа проекта; приятно ли будет видеть динамический список переменных в зависимости от области видимости переменных (по позиции курсора в коде на поле редактора) или достаточно области видимости файла, а вот уже объявления пользовательских типов и процедур делать глобально на проект и т.д.
в общем-то хотелось бы услышать ваши идеи и пожелания
ЗЫ предвкушая доп вопросы, спешу сообщить, что в планах также есть интеграция с МоделСимом/КвестаСимом

 SideBar.rar ( 12.52 килобайт ) : 71
 

Автор: CaPpuCcino Nov 21 2009, 00:07

Цитата(CaPpuCcino @ Nov 18 2009, 05:49) *
это пробный релиз - в ближайшем будущем добавлю декларацию портов, параметров и аргументов процедур, объявления пользовательских типов.

1)закончил грамматику деклараций(объявлений) переменных (включая параметры, формальные аргуметы функций/задач, портов модулей)
ограничения:
- не поддерживаются иерархические типы в объявлениях ( например: interface.modport_type my_interface) пока (докрутить достаточно просто)
- порты и формальные аргументы не разрешается объявлять как переменные типов по умолчанию, т.е. module a(input input_a); так делать запрещено (нужно так: module a(input bit input_a); ), хотя направление портов по умолчанию разрешено (мера отчасти вынужденная, ограничением длинны шаблона в реализации lpeg для scite, но я это вынужденное ограниченое только приветствую, т.к. это дисциплинирует)
-к сожалению пришлось так же поступить с шапочными объявлениями параметров - придётся задавать тип int явно #( parameter int my_param = 3 ); (ЗЫ: слово "parameter" в шапке естественно необязательно)
однако декларации вне шапок с удовольслвием скушают неявный тип
ЗЫ: внутренние переменные цикла for фильтровать не стал - очень много с этим мороки - избавится от лицезрения их можно (как это нормальным образом и происходит) не отделяя объявление типа от скобки: for (int i=0; i<8;i++) (ЗЫ: в принципе кому на них смотреть нравится- могу рассказать как включить, чтобы они отображались всегда)
2)полностью(по крайней мере мне так кажется) поддерживаются декларации пользовательских типов (т.е typedef-ы)
оформление: теперь панель разбита на 3 секции
-Variables (все переменные, т.е. и порты с параметрами тоже)
-Procedures(functions/tasks)
-Typedefs
область видимости - файл

думаю в дальнейшем буду двигаться в сторону разбора области видимости проект с группировкой объявлений по иерархии проекта
оформлят буду в виде псевдографического дерева, пока не появится нормальный класс tree в gui.dll
ЗЗЫ: сведения о багах очень даже приветствуются (если кому интересно, то peg грамматика СВ идёт с 838 - 987 строки файла)

 SideBar.rar ( 14.26 килобайт ) : 79
 

Автор: CaPpuCcino Nov 21 2009, 18:48

Цитата(CaPpuCcino @ Nov 21 2009, 03:07) *
- не поддерживаются иерархические типы в объявлениях ( например: interface.modport_type my_interface) пока (докрутить достаточно просто)

извините, что так часто обновляю.
в общем эта фича ушла в прошлое. файл заново не буду прикреплять пока не накопятся принципиальные подвижки. для поддержки "точечных" типов и "пакетных" типов аля my_interface.my_modport и my_package::package_type_t вместо строки №887
Код
  local user_defined_type_identifier = IDENTIFIER - (key_words*(SC+dimension_operator+concatination_operator+balanced_parantheses))

нужно прописать
Код
  local TYPEIDENTIFIER = IDENTIFIER*(DSoN*(P'.'+P'::')*DSoN*IDENTIFIER)^-1
  local user_defined_type_identifier = TYPEIDENTIFIER - (key_words*(SC+dimension_operator+concatination_operator+balanced_parantheses))

т.е. идентификатор типа выделен в отдельный шаблон с расширенными полномочиями

Автор: CaPpuCcino Nov 23 2009, 04:26

Цитата(CaPpuCcino @ Nov 18 2009, 05:49) *
ЗЫ предвкушая доп вопросы, спешу сообщить, что в планах также есть интеграция с МоделСимом/КвестаСимом

по вопросу интеграции (на примере SV):
консоль SciTe интерактивная и позволяет вам работать как если бы вы работали в коммандной строке системы или строке МоделСима/Квестасима (конечно если у вас прописаны пути переменных окружения). т.е. прямо в консоли Scite вы сможите написать vlib work и будет вам счастье(создастся в той же директорие что и лежит открытый вами в редакторе scite исходник, т.е. следующим действием вы можете исполнить комманду комписяции, т.о. подготовительная работа я думаю справедливо и нехлопотно ляжет на плечи кодера)
а вот компиляция может быть уже выполнена одной кнопкой висящей в шапке. для этого в файле свойств вашего языка(systemverilog.properties) необходимо прописать следующую комманду
Код
vlog=vlog -novopt -sv
command.compile.$(file.patterns.verilog)=$(vlog) $(FileNameExt)

как видно никаких сложных действий пока не потребовалось. при щелчке по кнопке компилировать запустится команда vlog -novopt -sv имя_открытого_файла вывод, которой направится в консоль Scite
при желании более умной компиляции (ну например включения путей для поиска include файлов) через Меню->Настройки->Открыть файл настроек каталога можно быстро внести изменения настроек для конкретного проекта (сам файл хранится в папке редактируемого файла и его настройки имеют более высокий приоритет относительно настроек языка)
теперь нам потребуется настроить интерактивное взаимодействие между редактором и консолью, т.е. получить удобную работу над ошибками.
для этого во всё том же файле свойств языка необходимо прописать следующую комманду:
Код
#extension specific lua scripts
extension.$(file.patterns.verilog)=$(SciteDefaultHome)\tools\ModelSim_Int.lua

которая будет запускать ассоциированный с данным языком обработчик событий консоли ModelSim_Int.lua, который нужно сохранить в папку tools
данный обработчик отслеживает обинарный щелчёк мыши в консоли. если щелчёк был произведён на строкой содержащей ошибку компиляции, предупреждение, фатальную ошибку, строка содержащая ошибку будет отмечена красной стрелкой. повторный щелчёк на той же строке уберёт данную метку. щелчёк вне строки содержащей хоть какое-нибудь предупрежрение снимает все установленные метки соответствующие сообщениям компилятора. метки также снимаются и в окне редактора как если бы это были закладки(bookmarks).
продолжение следует...

 ModelSim_Int.rar ( 1.08 килобайт ) : 70
 

Автор: CaPpuCcino Nov 29 2009, 07:32

Цитата(CaPpuCcino @ Nov 23 2009, 07:26) *
ModelSim_Int.lua

упс, немножко накосячил в обработчике. функция должна возвращать
return result вместо return true
иначе неправильно срабатывает обработчик "по умолчанию"

Автор: CaPpuCcino Dec 5 2009, 17:15

в связи с получением некоторого количества отзывов по инструментам SciTe, и для того чтобы не загромождать данную глобальную ветку обсуждением расширений SciTe, выношу таковые обсуждения в отдельную ветку http://electronix.ru/forum/index.php?showtopic=70446

Автор: flipflop Jan 29 2010, 15:20

Случайно наткнулся на интересный плагин к eclips'у.
http://electronix.ru/redirect.php?http://sourceforge.net/apps/mediawiki/veditor/index.php?title=Features

Думаю многих он устроит как замена dvt for eclipse.

Автор: RobFPGA Apr 9 2010, 17:09

Приветствую!

Требуется помощь/совет. Вдруг ни с того ни с сего умер SlickEdit (местный v14.02). Причем симптомы ужасные - запускается нормально, файлы открывает но в окне редактора невозможно ничего делать - такое впечатление что что то коверкает все коды которые идут с клавиатуры и мыши. Например управление курсором отсутствует напроч, жмеш "Q" - получаешь "ч" (причем если Q вводить в другом окне то получишь совсем другой символ но тоже не "Q:). Кнопки на тулбаре не работают, а меню работает правильно и с мышей и даже с горячими кнопками. Думал что что то с конфигом случилось - снес целиком Slick, поставил все по новой со стандартными настройками - та же ситуация. Ни в одной другой программе на компе такого не наблюдается 8-(). На втором компе тот же Slick работает без проблем.

Вобщем не сталкивался ли кто с чем то подобным?

Удачи! Rob.

Автор: Evil Archer Apr 20 2010, 22:07

Неплохой бесплатный плагин к эклипсу для работы с SystemVerilog.
http://electronix.ru/redirect.php?http://sveditor.sourceforge.net/

Автор: flipflop Apr 27 2010, 12:06

Последнее время перешел c Eclipse + DVT на emacs + verilog-mode + autocomplete + пару настроек под себя. Получается очень мощно, советую всем у кого монитор >= 22' и есть время разобраться в кастомизации emacs'a.

Автор: Yra Jul 30 2010, 22:42

пользуюсь medit - легкий кроссплатформенный текстовый редактор с подсветкой синтаксиса всего подряд. Плюс еще работа в разных кодировках.

Автор: dmitry-tomsk Sep 1 2010, 14:19

Подскажите, как в notepad++ сделать вставку компонента vhdl. Имеем объявление ENTITY или COMPONENT. В PORT перечислены входы-выходы в виде xxx : IN std_logic_vector(7 DOWNTO 0); (например), надо автоматом заменить на xxx => xxx, Пользуюсь WebEdit плагином, он такого не позволяет.
Ещё можно как нибудь сортировать строки по длине и выравнивать текст в столбце по левому краю?

Автор: BlackOps Sep 9 2010, 06:59

vim

Автор: Vengin Feb 2 2011, 08:19

Наткнулся недавно на такую IDE-шку Sigasi HDT. Построена на базе eclipse. Пока поддерживается только VHDL. Скачал триалку, поигрался - вроде ничего, удобненько. Навигация, редактирование, интеграция с Xilinx ISIM, Modelsim; CVS/SVN. Посмотрим во что это вырастет.

Автор: SergeyL Feb 4 2011, 05:43

QUOTE (Vengin @ Feb 2 2011, 12:19) *
Наткнулся недавно на такую IDE-шку Sigasi HDT. Построена на базе eclipse. Пока поддерживается только VHDL. Скачал триалку, поигрался - вроде ничего, удобненько. Навигация, редактирование, интеграция с Xilinx ISIM, Modelsim; CVS/SVN. Посмотрим во что это вырастет.


Посмотрел, действительно хорошая штука. Спасибо что навели, жалко только что не бесплатная :-)

Автор: VG2903 Feb 8 2011, 11:37

Написал подсветку синтаксиса под AHDL для Notepad++ , если кому надо - берите

 ahdl.rar ( 1 килобайт ) : 74
 

Автор: AndrewS6 Apr 25 2011, 08:42

Цитата(RobFPGA @ Apr 9 2010, 21:09) *
Приветствую!

Требуется помощь/совет. Вдруг ни с того ни с сего умер SlickEdit (местный v14.02). Причем симптомы ужасные - запускается нормально, файлы открывает но в окне редактора невозможно ничего делать - такое впечатление что что то коверкает все коды которые идут с клавиатуры и мыши. Например управление курсором отсутствует напроч, жмеш "Q" - получаешь "ч" (причем если Q вводить в другом окне то получишь совсем другой символ но тоже не "Q:). Кнопки на тулбаре не работают, а меню работает правильно и с мышей и даже с горячими кнопками. Думал что что то с конфигом случилось - снес целиком Slick, поставил все по новой со стандартными настройками - та же ситуация. Ни в одной другой программе на компе такого не наблюдается 8-(). На втором компе тот же Slick работает без проблем.

Вобщем не сталкивался ли кто с чем то подобным?

Удачи! Rob.

Такая же фигня с 15.0.1, около месяца жил нормально, сегодня с утра заартачился. Поиском по официальному форуму нашелся только http://electronix.ru/redirect.php?http://community.slickedit.com/index.php?topic=5189.0 топик, но дельных советов в нем не прозвучало. Удалось ли вам решить проблему?

Автор: kaktus May 11 2011, 06:44

Нет ли у кого подсветки синтаксиса для Xilinx UCF под Notepad++?

Автор: masics May 15 2011, 09:11

кто-нибудь пробовал DVT(http://www.dvteclipse.com/)? Как он?

Автор: zomg May 15 2011, 18:32

Цитата(kaktus @ May 11 2011, 10:44) *
Нет ли у кого подсветки синтаксиса для Xilinx UCF под Notepad++?

Попробуй в Notepad++ зайти в меню Опции\Определение стилей, в панели Язык выбрать Ruby
и в поле "Новое расширение" вписать ucf. Редактировать файл с констреинтами станет даже приятно.

Автор: glock17 Jun 9 2011, 02:52

А кто-нибудь из SlickEdit-юзеров создавал подсветку синтаксиса для скриптовых языков Quartus и ISE? Если да, то поделитесь, пожалуйста.

Автор: dxp Jun 9 2011, 06:10

Цитата(glock17 @ Jun 9 2011, 09:52) *
А кто-нибудь из SlickEdit-юзеров создавал подсветку синтаксиса для скриптовых языков Quartus и ISE? Если да, то поделитесь, пожалуйста.

А эти языки являют собой что-то новое? Это разве не тот же самый банальный tcl?

Автор: des00 Jun 9 2011, 06:16

Цитата(dxp @ Jun 9 2011, 00:10) *
А эти языки являют собой что-то новое? Это разве не тот же самый банальный tcl?

UCF нет %) а жаль

Автор: glock17 Jun 9 2011, 06:31

Цитата(dxp @ Jun 9 2011, 15:10) *
А эти языки являют собой что-то новое? Это разве не тот же самый банальный tcl?


я имел ввиду подсветку команд из, например, tcl-packages квартуса

PS. В принципе, UCF у меня в слике прописан, хотелось просто сравнить. А вот для квартуса ищу готовую, поскольку самому забивать такое количество команд немножко лениво.

Автор: Nix_86 Apr 2 2012, 18:22

А как вам редактор sublime text 2?
Мне понравился, думаю перейти на него с Notepad++, один минус-не свободный, но это формальность.

Автор: svedach Apr 4 2012, 06:56

Подскажите, как в SlickEdit сделать что бы табуляция выравнивала по вертикали, как в ISE..
Т.е. получаю:

Код
reg   [1:0]     Reg1;
reg   [10:0]     Reg2;

А нужно
Код
reg   [1:0]    Reg1;
reg   [10:0]   Reg2;

Спасибо.

Автор: andrew_b Apr 4 2012, 07:31

Цитата(svedach @ Apr 4 2012, 09:56) *
Подскажите, как в SlickEdit сделать что бы табуляция выравнивала по вертикали, как в ISE..
Т.е. получаю:
reg [1:0] Reg1;
reg [10:0] Reg2;
А нужно
reg [1:0] Reg1;
reg [10:0] Reg2;
Спасибо.


Не видно разницы, если не использованы теги code.

Автор: MKS Apr 8 2012, 20:11

Цитата(Nix_86 @ Apr 2 2012, 21:22) *
А как вам редактор sublime text 2?
Мне понравился, думаю перейти на него с Notepad++, один минус-не свободный, но это формальность.
Мне он тоже приглянулся, потихонечку на него переползаю. Огорчяет отсутствие полной документации. Внешние интсрументы к нему прикручиваются просто. В целом положительное впечатление.

Автор: sup-sup Jun 10 2012, 11:55

Цитата(MKS @ Apr 8 2012, 23:11) *
Мне он тоже приглянулся, потихонечку на него переползаю. Огорчяет отсутствие полной документации. Внешние интсрументы к нему прикручиваются просто. В целом положительное впечатление.

Вопрос от схемщика (не могу научиться воспринимать текстовый проект), продолжающего осваивать переход от схемного ввода к VHDL. И с WXP на W7. Есть инструмент HDL Designer, наиболее понравившийся тем, что есть flow ввод, но он 2007 года выпуска и не устанавливается на W7 никак. Есть ли какое-нибудь решение? Спасибо!

Автор: sup-sup Jun 11 2012, 12:11

Цитата(sup-sup @ Jun 10 2012, 14:55) *
Вопрос от схемщика (не могу научиться воспринимать текстовый проект), продолжающего осваивать переход от схемного ввода к VHDL. И с WXP на W7. Есть инструмент HDL Designer, наиболее понравившийся тем, что есть flow ввод, но он 2007 года выпуска и не устанавливается на W7 никак. Есть ли какое-нибудь решение? Спасибо!

И еще вопрос. Как вставить мегафункцию в проект в том же HDL Designer? Хочется весь проект выполнить и проверить в HDL Designer, а потом перекинуть в Quartus. Но, например, ФИФО в HDL Designer не применяет блоки памяти. Поэтому приходится в Quartus подменять блоки на более эффектиыные мегафункции.

Автор: sup-sup Jun 12 2012, 12:44

Цитата(sup-sup @ Jun 11 2012, 15:11) *
И еще вопрос. Как вставить мегафункцию в проект в том же HDL Designer? Хочется весь проект выполнить и проверить в HDL Designer, а потом перекинуть в Quartus. Но, например, ФИФО в HDL Designer не применяет блоки памяти. Поэтому приходится в Quartus подменять блоки на более эффектиыные мегафункции.

Как бы удалить это. Разобрался. Все работает. Только симулировать сходу в Моделсиме пока не получилось, так как Альтеровские мегафункции не подключены.

Автор: slawikg Jun 12 2012, 14:06

Цитата(sup-sup @ Jun 12 2012, 15:44) *
Как бы удалить это. Разобрался. Все работает. Только симулировать сходу в Моделсиме пока не получилось, так как Альтеровские мегафункции не подключены.

http://electronix.ru/redirect.php?http://megratec.ru/data/files_db/7626/10054.pdf

Автор: sup-sup Jun 12 2012, 17:57

Цитата(slawikg @ Jun 12 2012, 17:06) *
http://electronix.ru/redirect.php?http://megratec.ru/data/files_db/7626/10054.pdf

Спасибо! Разбираюсь.

Автор: IanPo Sep 30 2012, 12:19

Выкладываю файл-затравку для подсветки SDC-файлов в Notepad++
Внешний вид на прилагаемой картинке.
Расцветка делалась под цветовую схему Obsidian.
Дополняйте нужными командами, я прописал только базовые.

 

 sdc.xml.7z ( 669 байт ) : 62
 

Автор: agate Feb 25 2013, 03:34

А почему никто не использует еmacs ?
- верилог моде - мно полезных функций
- компиляция и симулляция (батч) не выходя из редактора.

Автор: Vadim Feb 25 2013, 06:11

Цитата(agate @ Feb 25 2013, 06:34) *
А почему никто не использует еmacs ?

А почему Вы решили, что его никто не использует? sm.gif
Вот только с verilog-mode мне подружиться не удалось, использую самописный.

Автор: agate Feb 25 2013, 12:25

QUOTE (Vadim @ Feb 25 2013, 09:11) *
А почему Вы решили, что его никто не использует? sm.gif
Вот только с verilog-mode мне подружиться не удалось, использую самописный.

А какие функции, если не секрет , в вашем верилог -моде ? И он тоже в лиспе или в чемто дрyгом написан?
Я очень доволен официальным верилог модом.

Автор: Vadim Feb 25 2013, 14:09

Там только подсветка синтаксиса, а из функций - только раскрытие некоторых ключевых слов, так что родной верилог-моде лучше. Правда, в родном подсветка синтаксиса убогая, у меня лучше sm.gif Дело в том, что на начальном этапе знакомства с emacs я пробовал верилог-моде, а он нагло глючил с подсветкой. Попытавшись подрихтовать его исходники, обнаружил, что весь его код помещён в единственный файл, и разобраться в этой каше не представляется возможным. Тогда же я обнаружил vlog-mode(http://vlog-mode.sourceforge.net/) с чёткой и понятной структурой. Взяв его за основу, написал свой моде (на лиспе, конечно) и пользуюсь до сих пор. Вполне допускаю, что сейчас верилог-моде, возможно, не глючит (он стремительно развивается), но поезд ушёл, меня всё устраивает.

Автор: agate Mar 6 2013, 17:22

Есть ли у кого нибудь опыт использования emacs verilog AUTOs?

Автор: myq Oct 24 2013, 12:50

А в нашей лавке даже старые "hardcore professionals" перцы переходят с emacs'а на sublime. Но это я говорю о не-HDL кодерах.

Автор: _Anatoliy Nov 19 2013, 11:28

Коллеги подскажите ,плз,кто в курсе. Речь о редакторе SlickEdit,при выполнении команды Find in files 9 раз выскакивает это окно. Полный путь к этой папке c:\Documents and Settings\Admin\Мои документы\My SlickEdit Config\14.0.2\ (она создалась видимо при инсталляции).Подозреваю что не нравится кириллица в пути, но как это обойти - не нашёл. Достало уже каждый раз мышей 9 тычков делать...

 

Автор: dxp Nov 19 2013, 13:32

QUOTE (_Anatoliy @ Nov 19 2013, 18:28) *
Коллеги подскажите ,плз,кто в курсе. Речь о редакторе SlickEdit,при выполнении команды Find in files 9 раз выскакивает это окно. Полный путь к этой папке c:\Documents and Settings\Admin\Мои документы\My SlickEdit Config\14.0.2\ (она создалась видимо при инсталляции).Подозреваю что не нравится кириллица в пути, но как это обойти - не нашёл. Достало уже каждый раз мышей 9 тычков делать...

Да, такие пути не дело. Размещайте конфигурацию редактора в более подходящем месте. Для того, чтобы программа работала с указанным вами местом, нужно при запуске использовать ключ -sc <path-to-configuration>. Я всегда использовал именно так, никаких подобных проблем никогда не было. Данный способ, кстати, позволяет использовать сколько угодно конфигураций (иногда это может быть полезным).

Автор: RobFPGA Nov 19 2013, 13:35

Приветствую!

Создайте переменную окружения SLICKEDITCONFIG=далеко_туда/где_будет_конфиг/

Соответственно при запуске SLickEdit будет работать с конфигом по указанному пути

Успехов! Rob.


Автор: _Anatoliy Nov 19 2013, 13:57

Цитата(RobFPGA @ Nov 19 2013, 15:35) *
Приветствую!

Создайте переменную окружения SLICKEDITCONFIG=далеко_туда/где_будет_конфиг/

Соответственно при запуске SLickEdit будет работать с конфигом по указанному пути

Успехов! Rob.

Большое спасибо,так гораздо лучше. cheers.gif

Автор: Cordroy Dec 31 2013, 14:06

Всем здравствуйте,

Хотел спросить совета насчет редактора больших файлов (200MB..3GB).

Речь идет о просмотре/редактировании нетлистов (Verilog) и SDF аннотаций. Т.е. идеально было бы с подсветкой кода (кастомного тоже).
Файлы открываются с сетевого расположения и желательно иметь несколько на виду. Уф.

Вообще для кода использую Notepad++, но он ужасно работает с большими файлами. А также, сильно тормозит когда файлы открыты из сети.

Пока что брал EditPad Lite для больших файлов. Вроде неплохой, но подстветки кода нет, редактирования колонками нет, часто вылетает и т.п.

Посоветуете что-нибудь в альтернативу?..

Заранее спасибо!

Автор: dmitry-tomsk Sep 5 2014, 15:37

Подскажите как в emacs vhdl mode сделать форматирование по buitify вот так

Код
   COMPONENT axi_adc_fifo
   PORT (
      m_aclk        : IN  std_logic;
      s_aclk        : IN  std_logic;
      s_aresetn     : IN  std_logic;
      s_axis_tvalid : IN  std_logic;
      s_axis_tready : OUT std_logic;
      s_axis_tdata  : IN  std_logic_vector(63 DOWNTO 0);
      m_axis_tvalid : OUT std_logic;
      m_axis_tready : IN  std_logic;
      m_axis_tdata  : OUT std_logic_vector(63 DOWNTO 0)
   );
   END COMPONENT axi_adc_fifo;



а не так, как по умолчанию
Код
   COMPONENT axi_adc_fifo
      PORT (
         m_aclk        : IN  std_logic;
         s_aclk        : IN  std_logic;
         s_aresetn     : IN  std_logic;
         s_axis_tvalid : IN  std_logic;
         s_axis_tready : OUT std_logic;
         s_axis_tdata  : IN  std_logic_vector(63 DOWNTO 0);
         m_axis_tvalid : OUT std_logic;
         m_axis_tready : IN  std_logic;
         m_axis_tdata  : OUT std_logic_vector(63 DOWNTO 0));
   END COMPONENT axi_adc_fifo;

Автор: gotcha Jan 13 2015, 11:52

Sublime text 3 (http://www.sublimetext.com/3)
+ System Verilog plugin (https://packagecontrol.io/packages/SystemVerilog)

Автор: Fitc May 29 2015, 09:27

Кстати в Notepad появилась полноценная подсветка для языка SystemVerilog

Автор: 7777777alex Oct 21 2015, 10:44

Плюс за
windows => Nodepad++
linux => Kate

И то и другое можно удобно настроить под себя.
И там и там пользуюсь HDL Designer + редактор. В редакторе Designer удобно добавлять модули методом drug drop из библиотеки. Все остальное редактирование в Kate или в Notepad++.

Автор: Кнкн Oct 30 2015, 10:25

Для slickedit подправленный колоризатор для SV + UVM
 sv.7z ( 9.19 килобайт ) : 63




Автор: spectr Oct 30 2015, 10:32

О, а кто-нибудь заморачивался с тем, чтобы сликедит научить подсвечивать отдельным цветом порты? А то по умолчанию он порты и параметры выделяет одним цветом...

Автор: Мур Feb 17 2016, 13:25

http://electronix.ru/redirect.php?http://www.vlsiacademy.org/open-source-cad-tools.html

Автор: estel23 Mar 30 2016, 14:49

Здравствуйте. Может не в той теме пишу, модераторы поправят.
Уважаемые, есть ли в природе инфа по Vivado на русском языке? Какие-то ресурсы, сайты, руководства. С чего начинать вообще?

Автор: iosifk Mar 30 2016, 14:57

Цитата(estel23 @ Mar 30 2016, 17:49) *
Здравствуйте. Может не в той теме пишу, модераторы поправят.
Уважаемые, есть ли в природе инфа по Vivado на русском языке? Какие-то ресурсы, сайты, руководства. С чего начинать вообще?

www.kit-e.ru
архив статей
Зотов
Тарасов

Автор: estel23 Mar 31 2016, 13:35

Цитата(iosifk @ Mar 30 2016, 18:57) *
www.kit-e.ru
архив статей
Зотов
Тарасов

Спасибо. Это надо журналы покупать. На сайте большинства статей нет.

Автор: Flip-fl0p Nov 5 2016, 17:52

Добрый день уважаемые форумчане. Почитал я немного ветку по редакторам HDL описаний. И возник у меня насущный вопрос. А если ли какое "хитрое" средство, которое создано для ленивых. Поясню, что я имею ввиду.
Допустим у меня большой проект, где применяется куча самописных модулей/компонентов (в VHDL - Entity т.е сущностей).
Далее я на таком вот примитивном примере буду показывать, как я поступаю, работая с языком VHDL. В Verilog может быть по-другому.

Есть 3 модуля/компонента, где каждый - отдельный файл.
Первый компонент:

CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TWO_INPUT_OR IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE TWO_INPUT_OR_arc OF TWO_INPUT_OR IS
BEGIN
Q <= A OR B;
END ARCHITECTURE;


Второй компонент.
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TWO_INPUT_AND IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE TWO_INPUT_AND_arc OF TWO_INPUT_AND IS
BEGIN
Q <= A AND B;
END ARCHITECTURE;


Третий компонент.
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TWO_INPUT_XOR IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE TWO_INPUT_XOR_arc OF TWO_INPUT_XOR IS
BEGIN
Q <= A XOR B;
END ARCHITECTURE;


Все вместе они реализуют такую логическую функцию:
http://electronix.ru/redirect.php?https://postimg.org/image/by8hynbqr/

Вот отдельный файл верхнего уровня схемы, где я соединяю все ранее созданные компоненты, для реализации нужной мне лог. функции.
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MY_PROJECT IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
C : IN STD_LOGIC;
D : IN STD_LOGIC;
F : OUT STD_LOGIC
);
END ENTITY;

ARCHITECTURE MY_PROJECT_arc OF MY_PROJECT IS
COMPONENT TWO_INPUT_OR IS -- Декларация компонента TWO_INPUT_OR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_AND IS -- Декларация компонента TWO_INPUT_AND
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_XOR IS -- Декларация компонента TWO_INPUT_XOR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;
SIGNAL OR_OUT_TO_XOR :STD_LOGIC;
SIGNAL AND_OUT_TO_XOR :STD_LOGIC;
BEGIN
------------------------------------------
OR_COMP : TWO_INPUT_OR
PORT MAP
(
A => A,
B => B,
Q => OR_OUT_TO_XOR
);
------------------------------------------
AND_COMP : TWO_INPUT_AND
PORT MAP
(
A => C,
B => D,
Q => AND_OUT_TO_XOR
);
------------------------------------------
XOR_COMP : TWO_INPUT_XOR
PORT MAP
(
A => OR_OUT_TO_XOR,
B => AND_OUT_TO_XOR,
Q => F
);
END ARCHITECTURE;


Для того, чтобы в VHDL в одном компоненте применить другой компонент, ранее написанный, необходимо применяемый компонент объявить в архитектурном теле. Так-же в VHDL допускается не объявлять компонент в архитектурном теле, а объявить компонент в файле пакета (PACKAGE):
CODE
LIBRARY IEEE ;
USE IEEE.STD_LOGIC_1164.ALL ;
PACKAGE MY_PROJECT_PACK IS

COMPONENT TWO_INPUT_OR IS -- Декларация компонента TWO_INPUT_OR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_AND IS -- Декларация компонента TWO_INPUT_AND
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_XOR IS -- Декларация компонента TWO_INPUT_XOR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;
END MY_PROJECT_PACK;


Это позволит несколько сократить количество строк модуля верхнего уровня, что повысит его читаемость. В модуле верхнего уровня достаточно будет объявить только декларацию пакета. И соединить порты модулей:
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE WORK.MY_PROJECT_PACK.ALL;

ENTITY MY_PROJECT IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
C : IN STD_LOGIC;
D : IN STD_LOGIC;
F : OUT STD_LOGIC
);
END ENTITY;

ARCHITECTURE MY_PROJECT_arc OF MY_PROJECT IS
SIGNAL OR_OUT_TO_XOR : STD_LOGIC;
SIGNAL AND_OUT_TO_XOR : STD_LOGIC;
BEGIN
------------------------------------------
OR_COMP : TWO_INPUT_OR
PORT MAP
(
A => A,
B => B,
Q => OR_OUT_TO_XOR
);
------------------------------------------
AND_COMP : TWO_INPUT_AND
PORT MAP
(
A => C,
B => D,
Q => AND_OUT_TO_XOR
);
------------------------------------------
XOR_COMP : TWO_INPUT_XOR
PORT MAP
(
A => OR_OUT_TO_XOR,
B => AND_OUT_TO_XOR,
Q => F
);
END ARCHITECTURE;


Вроде всё получается складно. Но проблемы появляться, когда может потребоваться внесение изменений в какой либо из ранее описанных компонентов. Например добавил ещё один порт в один из модулей, или изменил разрядность какой либо шины. Вот тут и появляется самое большое неудобство, поскольку для изменения одного компонента мне потребуется:
1. Изменить файл описания модуля.
2. Изменить файл пакета, где описываются порты изменяемого модуля.
3. В файле где применяется этот компонент добавить\изменить выходные\выходные порты.

Если честно это доставляет сильный дискомфорт. И хотелось бы, чтобы часть рутины на себя взяло программное обеспечение.

На данный момент я пользуюсь бесплатным редактором NOTEPAD ++ . Удобная вещь. Понимает кучу синтаксисов, большое количество настроек. Множество плагинов. Возможность создавать свои шаблоны (сниппеты). Но вот дальше продвинутого блокнота "для программистов" он не ушел. А хочется найти гибкий инструмент, заточенный под HDL, избавляющий от рутины, описанной выше.

Так-же мне очень не хватает проверки синтаксиса "на лету". Например я забыл поставить где-нибудь скобку, или точку с запятой, а редактор подсветил этот косяк.
Существуют ли подобные HDL- редакторы ? Ну и хотелось бы, чтобы у редактора были гибкие настройки подсветки синтаксиса. К сожалению не каждый редактор может этим похвастаться

Автор: Maverick Nov 5 2016, 19:55

Цитата(Flip-fl0p @ Nov 5 2016, 19:52) *

http://electronix.ru/redirect.php?http://www.sigasi.com/products/(платный)

Автор: dm.pogrebnoy Nov 6 2016, 07:55

Flip-fl0p
Есть такая конструкция xLABEL : entity work.entity_name в месте использования компонента.
Она должна избавить от создания паразитного package, и необходимость произведения изменений в нем.

Автор: andrew_b Nov 6 2016, 09:32

Цитата(Flip-fl0p @ Nov 5 2016, 21:52) *
Для того, чтобы в VHDL в одном компоненте применить другой компонент, ранее написанный, необходимо применяемый компонент объявить в архитектурном теле. Так-же в VHDL допускается не объявлять компонент в архитектурном теле, а объявить компонент в файле пакета (PACKAGE):
Сто лет как ничего этого не нужно. Осваивайте direct component instantiation.

Собсно, постом выше уже написали.

Автор: Flip-fl0p Nov 6 2016, 10:06

Цитата(dm.pogrebnoy @ Nov 6 2016, 10:55) *
Flip-fl0p
Есть такая конструкция xLABEL : entity work.entity_name в месте использования компонента.
Она должна избавить от создания паразитного package, и необходимость произведения изменений в нем.



Цитата(andrew_b @ Nov 6 2016, 12:32) *
Сто лет как ничего этого не нужно. Осваивайте direct component instantiation.

Собсно, постом выше уже написали.

Круто. Спасибо ! Жаль что такие вещи в книгах не пишут, по которым я учусь... Это же сколько я времени убил на правку package... А счастье было так близко. smile3046.gif

Автор: Fitc Jan 8 2017, 16:58

Недавно перешел с Notepad++ на VisualStudio code + плагин SystemVerilog. Недавно узнал про sublime и как по мне он лучше visual studio code. Также собираюсь использовать systemverilog assistance из HDL Designer, он содержит большой функционал по работе с UVM и готовые шаблоны компонентов UVM.

Автор: Tpeck Jan 9 2017, 11:21

Всем здравствуйте.
А подскажите пожалуйста, как можно в Notepad++ простым способом проверку синтакса VHDL прикрутить?
Есть мысли реализации с использованием батника и asim от Aldec.
Но может есть способ попроще?
Интересуют просто визуализация ошибок синтаксиса конкретного VHDL.
Спасибо.

Автор: GriXa Jan 9 2017, 11:41

2 Tpeck:
Про Notepad++, к сожалению, ничего сказать не могу. Но вот отличный плагин Veditor для Eclipse парсит VHDL код, и сигнализирует об ошибках синтаксиса. Не всегда плагин работает безупречно. Но на мой взгляд вполне удачное бесплатное решение.

2 Flip-fl0p:
Прошу прощения за некропостинг, но чтобы избавиться от

Цитата
Вот тут и появляется самое большое неудобство, поскольку для изменения одного компонента мне потребуется:
1. Изменить файл описания модуля.
2. Изменить файл пакета, где описываются порты изменяемого модуля.
3. В файле где применяется этот компонент добавить\изменить выходные\выходные порты.


удобно использовать records types. Подробнее про его использование написано у Jiri Gaisler в статье A structured VHDL design method в разделе 5.5 Using record types.



Автор: druzhin Feb 13 2017, 17:53

Цитата(Fitc @ Jan 8 2017, 19:58) *
Недавно перешел с Notepad++ на VisualStudio code + плагин SystemVerilog. Недавно узнал про sublime и как по мне он лучше visual studio code. Также собираюсь использовать systemverilog assistance из HDL Designer, он содержит большой функционал по работе с UVM и готовые шаблоны компонентов UVM.


Как на это красноглазое поделие sublime поставить какой-нибудь верилог-плагин?

Автор: RobFPGA Feb 13 2017, 18:33

Приветствую!

Цитата(druzhin @ Feb 13 2017, 20:53) *
Как на это красноглазое поделие sublime какой-нибудь верилог-плагин?


Sublime + SystemVerilog плагин. Очень даже прилично работает, теперь у меня это основной рабочий редактор.

Успехов! Rob.


Автор: Fitc Feb 13 2017, 19:04

Цитата(druzhin @ Feb 13 2017, 20:53) *
Как на это красноглазое поделие sublime поставить какой-нибудь верилог-плагин?

Если не установлен "Package control", то установить через "Tools"-> "Install package control ...". Далее нажать "Preferences" -> "Package control", ввести install Package, нажать enter, ввести SystemVerilog, нажать enter

Автор: druzhin Feb 14 2017, 13:14

Цитата(Fitc @ Feb 13 2017, 22:04) *
Если не установлен "Package control", то установить через "Tools"-> "Install package control ...". Далее нажать "Preferences" -> "Package control", ввести install Package, нажать enter, ввести SystemVerilog, нажать enter


Плагин установился. Буду пробовать. Спасибо.

Автор: R6L-025 Mar 3 2017, 14:54

В sublime (хоть и пользуюсь им давно,нашел ее недавно) есть интересная фича - файл проекта. Добавляете в список директорий проекта свой проект, и sublime будет искать определения сигналов, параметров и пр. во всех файлах проекта. Получается что-то похожее на привычное IDE.

Автор: RobFPGA Mar 3 2017, 15:20

Приветствую!

Цитата(R6L-025 @ Mar 3 2017, 17:54) *
В sublime (хоть и пользуюсь им давно,нашел ее недавно) есть интересная фича - файл проекта. Добавляете в список директорий проекта свой проект, и sublime будет искать определения сигналов, параметров и пр. во всех файлах проекта. Получается что-то похожее на привычное IDE.

За одно тут же можно настроить и project build-system для компиляции. например
Код
...
    "build_systems":
    [
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_sv",
            "selector": "source.systemverilog",
            "shell_cmd": "%MODEL_TECH%\\vlog.exe -modelsimini .\\ -f compile_sv_opt.f +incdir+$file_path $file",
            "working_dir": "$project_path\\..\\sim"
        },
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_vhd",
            "selector": "source.VHDL",
            "shell_cmd": "$project_path\\..\\sim\\vcom_cmd.bat $file",
            "working_dir": "$project_path\\..\\sim"
        },
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_dpi_cpp",
            "selector": "source.c++",
            "shell_cmd": "%MODEL_TECH%\\vlog.exe -sv -outf vlog_f.txt -ccflags \"@c_opt.f\" $file",
            "working_dir": "$project_path\\..\\sim"
        }
    ],
...

А в фалах *.f прописать нужные опции для компиляции.

Успехов! Rob.

Автор: DSIoffe Apr 13 2017, 16:12

Здравствуйте все!
Научите, пожалуйста, по шагам, как включить в Sublime Text подсветку синтаксиса VHDL?
Я установил редактор и даже нашёл вот это: http://electronix.ru/redirect.php?https://github.com/yangsu/sublime-vhdl . Пользоваться github не умею.
А что дальше делать? Только, пожалуйста, максимально просто: что нажать, что ввести, без специфических терминов Sublime и github.
Заранее признателен.

Автор: R6L-025 Apr 13 2017, 20:35

Как по мне самый простой способ - это использовать package control. Это пакет sublime позволяющий довольно просто управлять установкой/удалением других пакетов
вот здесь http://electronix.ru/redirect.php?https://packagecontrol.io/installation руководство по установке. Просто вводите указанный код в консоль subliime, а дальше preferences->package control->install и указываете нужный Вам пакет. Всеsm.gif

Автор: DSIoffe Apr 14 2017, 11:30

Цитата(R6L-025 @ Apr 13 2017, 23:35) *
Как по мне самый простой способ - это использовать package control. Это пакет sublime позволяющий довольно просто управлять установкой/удалением других пакетов
вот здесь http://electronix.ru/redirect.php?https://packagecontrol.io/installation руководство по установке. Просто вводите указанный код в консоль subliime, а дальше preferences->package control->install и указываете нужный Вам пакет. Всеsm.gif

Большое спасибо!
Забавно, надо было переоткрыть файл заново, чтобы увидеть раскраску.

Автор: DSIoffe Apr 17 2017, 09:23

И ещё: а можно ли в Sublime Text одновременно просматривать/править два участка одного и того же файла? Два разных файла и больше - легко, а вот один и тот же?
Заранее признателен.

Автор: RobFPGA Apr 17 2017, 09:37

Приветствую!

Цитата(DSIoffe @ Apr 17 2017, 12:23) *
И ещё: а можно ли в Sublime Text одновременно просматривать/править два участка одного и того же файла? Два разных файла и больше - легко, а вот один и тот же?
Заранее признателен.


Да хоть и 4 - правая кнопка на закладке - команда Clone
Vew -> Layout -> ...

Удачи! Rob.

Автор: DSIoffe Apr 17 2017, 11:02

Цитата(RobFPGA @ Apr 17 2017, 12:37) *
Приветствую!
Да хоть и 4 - правая кнопка на закладке - команда Clone
Vew -> Layout -> ...
Удачи! Rob.

Нету у меня Clone, только Close.
У меня Sublime Text 3, build 3126. Windows 10 64.
Может, попробовать другую версию?

Автор: R6L-025 Apr 17 2017, 12:08

Могу предположить что clone это команда из Sublime2, у меня в 3-м это работает так: "File -> New View into File"

Автор: DSIoffe Apr 17 2017, 12:24

Цитата(R6L-025 @ Apr 17 2017, 15:08) *
Могу предположить что clone это команда из Sublime2, у меня в 3-м это работает так: "File -> New View into File"

Спасибо, теперь всё как надо!

Автор: RobFPGA Apr 17 2017, 12:41

Приветствую!

Цитата(DSIoffe @ Apr 17 2017, 14:02) *
Нету у меня Clone, только Close.
У меня Sublime Text 3, build 3126. Windows 10 64.
Может, попробовать другую версию?


Упс .. это видно менюшка из дополнительного пакета управления закладками - или TabsExtra или Zen Tabs

Удачи! Rob.

Автор: DSIoffe Apr 17 2017, 15:51

А можно в Sublime Text сделать разделение окна как в AkelPad: разделяется только текущий файл, а остальные во всё окно, если на них переключиться?

Автор: R6L-025 Apr 17 2017, 16:26

Хм. Не видел такой фичи в sublime. Может какими дополнительными пакетами можно

Автор: DSIoffe May 29 2018, 09:09

Здравствуйте все!
Подскажите, пожалуйста, где посмотреть, как написать для AkelPad скрипт, вставляющий в позицию курсора заданный текст?
А лучше всего - один пример, чтобы я мог просто заменить текст на свой.
Заранее признателен.

Автор: Vengin Aug 27 2018, 08:55

Здравствуйте. Осваивал нынче Sublime Text - конечно вещь! Гибкость, а уж тем более программируемость самой среды разработки это что-то.
В процессе настройки под себя для работы с HDL наткнулся на package http://electronix.ru/redirect.php?https://packagecontrol.io/packages/SublimeLinter-contrib-vcom, который позволяет подсвечивать errors/warnings генерируемые компилятором vcom от Modelsim/Questasim. Решил добавить поддержку vlog для Verilog/SysemVerilog и чуток подпилил vcom (по возможности подсвечивается конкретное место ошибки (колонка в строке), а не вся строка). В итоге выглядит это как в традиционных IDE как-то так:




Этот подход (linting) немного пересекатеся с build_systems (как пример http://electronix.ru/redirect.php?https://electronix.ru/forum/index.php?showtopic=29129&view=findpost&p=1485848), но лично мне привычнее "традиционный вид" (да и можно использовать оба подхода).
Вроде достаточно удобно, может кому пригодится.

P.S.: после добавления поддержки компилятора vlog, автор переименовал репозиторий на SublimeLinter-contrib-modelsim, но процесс переименования самого package что-то подвис и поэтому в Package Control он по прежнему пока под старым именем SublimeLinter-contrib-vcom.

Русская версия Invision Power Board (http://www.invisionboard.com)
© Invision Power Services (http://www.invisionpower.com)