реклама на сайте
подробности

 
 
2 страниц V   1 2 >  
Reply to this topicStart new topic
> Altium Designer + FPGA/CPLD
andrewkrot
сообщение Feb 20 2009, 13:13
Сообщение #1


Местный
***

Группа: Участник
Сообщений: 306
Регистрация: 11-11-04
Из: Москва
Пользователь №: 1 106



Готов поделиться некоторым опытом работы с FPGA в Altium - е. У кого какие есть за/против разработки FPGA в Altium?
Go to the top of the page
 
+Quote Post
Acex
сообщение Feb 21 2009, 23:23
Сообщение #2


Участник
*

Группа: Участник
Сообщений: 28
Регистрация: 21-06-04
Из: Москва
Пользователь №: 84



Цитата(andrewkrot @ Feb 20 2009, 16:13) *
Готов поделиться некоторым опытом работы с FPGA в Altium - е. У кого какие есть за/против разработки FPGA в Altium?

Так поделитесь, в чем же дело? С интересом почитаю о Вашем опыте. rolleyes.gif
Go to the top of the page
 
+Quote Post
Vadim
сообщение Feb 23 2009, 10:46
Сообщение #3


Неиодный дизайнер
*****

Группа: Свой
Сообщений: 1 240
Регистрация: 1-12-04
Из: Минск
Пользователь №: 1 273



Цитата(andrewkrot @ Feb 20 2009, 16:13) *
У кого какие есть за/против разработки FPGA в Altium?

Давайте лучше поговорим о разработке печатных плат в моделсиме smile.gif


--------------------
SPECCTRA forever! IO/Designer forever!
Go to the top of the page
 
+Quote Post
LeonY
сообщение Feb 23 2009, 10:49
Сообщение #4


Знающий
****

Группа: Админы
Сообщений: 689
Регистрация: 24-06-04
Из: South Africa
Пользователь №: 164



и в синплисити smile.gif


--------------------
"В мире есть две бесконечные вещи: Вселенная и человеческая глупость. За Вселенную, впрочем, поручиться не могу". (С)

А. Эйнштейн.
Go to the top of the page
 
+Quote Post
andrewkrot
сообщение Feb 23 2009, 11:43
Сообщение #5


Местный
***

Группа: Участник
Сообщений: 306
Регистрация: 11-11-04
Из: Москва
Пользователь №: 1 106



Ну, если покажете как в modelsim или synplicity плату развести - буду премного благодарен.
Go to the top of the page
 
+Quote Post
torik
сообщение Feb 23 2009, 12:11
Сообщение #6


Гуру
******

Группа: Свой
Сообщений: 2 113
Регистрация: 1-11-05
Пользователь №: 10 359



Нет, чё, тема интересная. ПП раскладываю в AD, но чтобы изначально вести в нем проект по ПЛИС мне как-то не доходило.
Вопросы:
1) А это удобнее, чем в Квартусе, или можно "внутренности" ПЛИС как обычно в квартусе, а потом "передать" в AD?
2) Какие преимущества? Ну, вроде как можно указать частоты на выводах, дабы AD проверил всякие там волновые сопротивления... Но как же память и другие элементы?


--------------------
Быть. torizin-liteha@yandex.ru
Go to the top of the page
 
+Quote Post
AlexandrY
сообщение Feb 23 2009, 12:15
Сообщение #7


Ally
******

Группа: Модераторы
Сообщений: 6 232
Регистрация: 19-01-05
Пользователь №: 2 050



А что, там нужен опыт?

Altium главной целью и имеет привлечь неопытных.

Позже правда приходиться с него слезать.
Ибо крутые пакеты не любят давать конвертировать результаты своей работы в другие IDE.
Всунуть в Altium IPCore из Mentora или ISE system generator-a весьма проблематично.

Так же приблизительно у Altium-а и с разработкой софта.
Внешне вроде красиво и доступно.
Но потом оказывается, что тулсы весьма ограничены и сильно уступают специализированным пакетам.



Цитата(andrewkrot @ Feb 20 2009, 15:13) *
Готов поделиться некоторым опытом работы с FPGA в Altium - е. У кого какие есть за/против разработки FPGA в Altium?
Go to the top of the page
 
+Quote Post
smalcom
сообщение Feb 23 2009, 14:09
Сообщение #8


Профессионал
*****

Группа: Свой
Сообщений: 1 292
Регистрация: 26-06-07
Пользователь №: 28 718



andrewkrot, раз такое дело, то поделитесь опытом создания схемы на ПЛИС в этом пакете не для наноборд, а любого.
Go to the top of the page
 
+Quote Post
Саша Z
сообщение Feb 23 2009, 19:08
Сообщение #9


Знающий
****

Группа: Свой
Сообщений: 921
Регистрация: 6-04-07
Из: Israel
Пользователь №: 26 822



В свое время, когда начинал с Альтиумом, тоже прикидывал насколько стоящим будет вложение в его FPGA тулы.
Оказалось что они исключительно завязаны на nanoboard, весьма дорогой, да и его "универсальность" сделала его черезчур навороченным. Предпочки EVB брендов под конкретной тематики разработки. Кроме того не слишком понял преймущества их синтезатора перед нормальным тулом который industry strandard, симулятор нормальный все-равно нужен внешний как и P&R ессно.
В целом показалось красивой оболочкой, не более того, реальной ценности не представляющий для конкретный разработок на фоне стандартных тулов брендов, разве толчко ежели кто изначально подвязался на сей nanoboard.
Go to the top of the page
 
+Quote Post
andrewkrot
сообщение Feb 23 2009, 20:29
Сообщение #10


Местный
***

Группа: Участник
Сообщений: 306
Регистрация: 11-11-04
Из: Москва
Пользователь №: 1 106



В общем, заблуждение конечно, что Altium только с nanoboard-ом работает. Этот дорогой девайс не больше чем хороший стартер кит от Altera или Xilinx. С этим я совершенно согласен, ровно как и стем, что по-моему еще никто не смог подружить Altium с Modelsim-ом. Но если проекты не очень сложные (по сложности предлагаемым в качестве ознакомления), достаточно и тех аппаратных инструментов, которые предлагаются в комплекте. И наверное для сложных проектов, в которых необходимо таки использование DSP ядер, использование AD будет не эффективным. С другой стороны, ядра сгенерированные корегеном xilinx или мегавизардом альтеровским очень хорошо импортируются и работают. В комплекте предлагаются также микропроцессорные ядра MSC51, Z80, PIC вместе со средствами разработки (C, ASM) инструментальной отладки, ну и конечно возможно использование микро/пикоблейз, PPC и NIOS. Есть также много инструментов типа ChipScope или Signal Tap, и совершенно без разницы, с альтерой, ксайлинксом или альдеком вы работаете в данный момент. Правда, инструменты эти доступны только для FPGA по понятной причине, и для их использования понадобится специальный кабелечек, который сам по себе не сложен, и плата со схемой для него есть в хелпах. Для желающих есть у меня пару готовых плат пустых - могу поделиться. Для юзающих CPLD плюсом является то, что Altium дружит с программаторами типа ByteBlaster или не помню как у ксайлинкса он называется, причем тип подключенного программатора определяется автоматически. Ксайлинкс можно программировать байт-бластером и наоборот. Минус - пока только те программаторы работают напрямик, которые к параллельному порту подключаются. Для использования USB придется подождать, пока выложат исходники для своего USB JTAG адаптера. USB Blaster и USB программатор от Xilinx напрямую пока не работают. В общем, процесс довольно прост - после изготовления PCB передаем данные в проект FPGA. Пишем на VHDL или рисуем в схематике, или используем то и другое вместе и импортируем ядра, если необходимо. Подключаем плату к компьютеру с помощью байтбластера или любого доступного программатора (хоть для AVR) и программируем. После чего в реалтайме смотрим встроенными JTAG средствами, как на рисунке Вашей платы в том месте где стоит ПЛИС меняются нули и единицы на соответствующих выводах. Осциллограф в большинстве случаев оказывается не нужным. Путем логических умозаключений, думаем, почему не работает ничего. И т.д... smile.gif
Go to the top of the page
 
+Quote Post
Саша Z
сообщение Feb 23 2009, 21:12
Сообщение #11


Знающий
****

Группа: Свой
Сообщений: 921
Регистрация: 6-04-07
Из: Israel
Пользователь №: 26 822



Цитата(andrewkrot @ Feb 24 2009, 00:29) *
В общем, заблуждение конечно, что Altium только с nanoboard-ом работает. Этот дорогой девайс не больше чем хороший стартер кит от Altera или Xilinx. С этим я совершенно согласен, ровно как и стем, что по-моему еще никто не смог подружить Altium с Modelsim-ом. Но если проекты не очень сложные (по сложности предлагаемым в качестве ознакомления), достаточно и тех аппаратных инструментов, которые предлагаются в комплекте. И наверное для сложных проектов, в которых необходимо таки использование DSP ядер, использование AD будет не эффективным. С другой стороны, ядра сгенерированные корегеном xilinx или мегавизардом альтеровским очень хорошо импортируются и работают. В комплекте предлагаются также микропроцессорные ядра MSC51, Z80, PIC вместе со средствами разработки (C, ASM) инструментальной отладки, ну и конечно возможно использование микро/пикоблейз, PPC и NIOS. Есть также много инструментов типа ChipScope или Signal Tap, и совершенно без разницы, с альтерой, ксайлинксом или альдеком вы работаете в данный момент. Правда, инструменты эти доступны только для FPGA по понятной причине, и для их использования понадобится специальный кабелечек, который сам по себе не сложен, и плата со схемой для него есть в хелпах. Для желающих есть у меня пару готовых плат пустых - могу поделиться. Для юзающих CPLD плюсом является то, что Altium дружит с программаторами типа ByteBlaster или не помню как у ксайлинкса он называется, причем тип подключенного программатора определяется автоматически. Ксайлинкс можно программировать байт-бластером и наоборот. Минус - пока только те программаторы работают напрямик, которые к параллельному порту подключаются. Для использования USB придется подождать, пока выложат исходники для своего USB JTAG адаптера. USB Blaster и USB программатор от Xilinx напрямую пока не работают. В общем, процесс довольно прост - после изготовления PCB передаем данные в проект FPGA. Пишем на VHDL или рисуем в схематике, или используем то и другое вместе и импортируем ядра, если необходимо. Подключаем плату к компьютеру с помощью байтбластера или любого доступного программатора (хоть для AVR) и программируем. После чего в реалтайме смотрим встроенными JTAG средствами, как на рисунке Вашей платы в том месте где стоит ПЛИС меняются нули и единицы на соответствующих выводах. Осциллограф в большинстве случаев оказывается не нужным. Путем логических умозаключений, думаем, почему не работает ничего. И т.д... smile.gif


Я был-бы только рад если-бы Альтиумовские средства FPGA были-бы широко применимы, как минимум заменяя брендовкие тулы. Возможно отчасти и так, но видимо только отчасти.
Все равно видимо понадобится нормальмые, industry standard, синтезатор например что уже заставляет всеравно выкладываться на нормальный тул, симулятор - тоже самое (хороши что Альдек можно подвесить к Альтиуму, но до этого его нужно еще и купить), а без P&R бренда уж точно никуда не деться. Вот и получаем стандартный набор FPGA пакета, который как ни крути придется попкупать в дополнение к Альтиуму, но тогда и Альтиум не нужен для FPGA, разве в качестве оболочки, но это дело вкуса. Да и кстати я не в курсе насколько сегодня Альтиумовский FPGA пакет поддерживает Lattice...
У меня стоит и полный Альтиум и пакет Латиса (включая Альдек и Synplify Pro), но пока не думалось попробовать иь смешать в кучу....каждый выполняет свою роль в процессе....

Лично я пока вижу только одну причину желания работы в Альтиуме в плане FPGA - это возможность привязки FPGA <-> Schematic с backannotation. Это конечно весьма удобно судя по описанию...
Go to the top of the page
 
+Quote Post
alexf
сообщение Mar 3 2009, 08:37
Сообщение #12


Местный
***

Группа: Свой
Сообщений: 420
Регистрация: 22-12-04
Пользователь №: 1 608



До Nanoboard были "live design boards" весьма доступные по цене - $100. Я сделал несколько проэктов на AD но только потому что "так было надо". Виртуальные инструменты сильно не дотягивают до родных от А и Х. Все построено чтобы можно было быстро слепить приладу из готовых модулей с особым упором на процессорные корки, сильно не дешевые если по честному платить. Если много лишних ресурсов, работать можно. Если надо не игрушку а реально выжимать из киски капельки, то лучше сразу работать с родными средствами.

Есть правда по крайней мере одно весьма полезное свойство. Через JTAG видно не только виртуальные инструменты но и ножки FPGA в сыром виде как до загрузки конфигурации, так и после. Так что и Universal Scan не нужен чтобы прозвонить что на плате происходит.
Go to the top of the page
 
+Quote Post
gyrotron
сообщение Mar 8 2009, 01:21
Сообщение #13


Участник
*

Группа: Участник
Сообщений: 36
Регистрация: 15-09-05
Пользователь №: 8 578



Интересно, можно ли Altium Designer 09 подружить с Altera USB-Blaster,
что установлен на многих отладочных платах, например, Cyclone II Terasic DE1/DE2 ??

Сообщение отредактировал gyrotron - Mar 8 2009, 01:23
Go to the top of the page
 
+Quote Post
andrewkrot
сообщение Mar 8 2009, 16:36
Сообщение #14


Местный
***

Группа: Участник
Сообщений: 306
Регистрация: 11-11-04
Из: Москва
Пользователь №: 1 106



Пробовал. Никак не получается. Не видит AD USB-Blaster. Еще проблематично и то, что для работы своих инструментов Altium требует дополнительных выводов ПЛИС, т.н. Soft JTAG (в отличие от родных альтеровских или хилых инструментов). Чтобы подружить их, нужно использовать внешний Byte-Blaster, если такова возможность есть у плат. А еще лучше собрать Altium JTAG, который и Quartus и ISE за родного принимают. Правда на параллельный порт только.
Go to the top of the page
 
+Quote Post
gyrotron
сообщение Mar 8 2009, 18:39
Сообщение #15


Участник
*

Группа: Участник
Сообщений: 36
Регистрация: 15-09-05
Пользователь №: 8 578



Нашел кое-что на эту тему... А где найти схемку на Altium JTAG ?

Сообщение отредактировал gyrotron - Mar 8 2009, 18:41
Прикрепленные файлы
Прикрепленный файл  Altera_CycloneII_NiosII_Eval_Board.pdf ( 70.15 килобайт ) Кол-во скачиваний: 510
Прикрепленный файл  Altera_Cyclone_II_Nios_II_Dev_Board_Constraint.zip ( 2.42 килобайт ) Кол-во скачиваний: 54
 
Go to the top of the page
 
+Quote Post

2 страниц V   1 2 >
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 3rd June 2024 - 13:47
Рейтинг@Mail.ru


Страница сгенерированна за 0.01517 секунд с 7
ELECTRONIX ©2004-2016