реклама на сайте
подробности

 
 
10 страниц V   1 2 3 > »   
Reply to this topicStart new topic
> FAQ по языкам описания аппаратуры
makc
сообщение Aug 27 2006, 12:34
Сообщение #1


Гуру
******

Группа: Админы
Сообщений: 3 621
Регистрация: 18-10-04
Из: Москва
Пользователь №: 904



1. Общие вопросы.
1.1. Источники информации
1.1.1. Методические указания
1.1.2. Книги
1.1.3. Ссылки в Интернете
1.2. Готовые примеры
2. Синтезируемое подмножество языка.
3. Несинтезируемое подмножество языка (используется для моделирования, верификации и других целей).
4. Специфические вопросы (особенности синтезаторов, симуляторов, уловки при создании описаний).


1.1.1. Методические указания

<пока не написаны>

1.1.2. Книги

На русском языке:
01) Системы проектирования интегральных схем на основе языка VHDL. StateCAD. ModelSim. LeonardoSpectrum.
http://www.solon-press.ru/shop.html?id=269
02) Основы языка VHDL. Изд. 2
http://www.solon-press.ru/shop.html?id=128
03) Языки VHDL и VERILOG в проектировании цифровой аппаратуры
http://www.solon-press.ru/shop.html?id=131
04) Проектирование цифровых систем на VHDL
http://www.bhv.ru/books/book.php?id=966
05) Проектирование систем на микросхемах с программируемой структурой. 2-е издание
http://www.bhv.ru/books/book.php?id=13328

На английском языке:
01) Circuit Design With VHDL
http://www.amazon.com/gp/product/026216224...7627941?ie=UTF8
02) Digital Design with CPLD Applications and VHDL
http://www.amazon.com/gp/product/140184030...ce&n=283155
03) VHDL Coding Styles & Methodologies
http://www.amazon.com/gp/product/079238474...e&n=283155)
04) Digital Design with VHDL
05) VHDL Programming by example
http://www.amazon.сom/gp/product/007140070...ce&n=283155
06) The VHDL Cookbook (by Peter J. Ashenden)
07) Digital Design VHDL Laboratory Notes (by Cecil Alford)
08) VHDL Design Representation and Synthesis
http://www.amazon.com/gp/product/013021670...ce&n=283155
09) A VHDL Primer http://www.amazon.com/gp/product/013096575...ce&n=283155


1.1.3. Ссылки в Интернете (Для тех, кому лень тянуться за книгами).

01) VHDL технологии в представлении ЦНИИ Навигации и Управления
http://www.kvantn.com.ua/resourse/All/VHDL/VHDL_context.html
02) VHDL MINI-REFERENCE
http://www.eng.auburn.edu/department/ee/mgc/vhdl.html
03) Tutorial, examples, links, tools and books related to Verilog.
http://www.asic-world.com/verilog/index.html
04) VHDL Tutorial: Learn by Example by Weijun Zhang
http://esd.cs.ucr.edu/labs/tutorial/
05) Набор ссылок на различные ресурсы по VHDL (и Verilog).
http://members.aol.com/SGalaxyPub/useful_links_vhdl.htm
06) VHDL Manual
http://mikro.e-technik.uni-ulm.de/vhdl/anl...vhdl-all-e.html
07) Handbook on Verilog HDL
http://www.eg.bucknell.edu/~cs320/1995-fal...og-manual.html
08)VHDL обучающий портал
http://www.bsuir.unibel.by/vhdl/
09) VHDL handbook
http://www.hardi.com/haps/literature/VHDL-Handbook.pdf
10) On-line Verilog HDL Quick Reference Guide
http://www.sutherland-hdl.com/on-line_ref_...og_ref_top.html
11) Hamburg VHDL archive
http://tech-www.informatik.uni-hamburg.de/vhdl/
12) Центральный источник информации - http://vhdl.org, включая:
........FAQ from comp.lang.vhdl http://vhdl.org/comp.lang.vhdl/
........RASSP Support Page for VHDL http://vhdl.org/rassp/vhdl/


--------------------
BR, Makc
В недуге рождены, вскормлены тленом, подлежим распаду. (с) У.Фолкнер.
Go to the top of the page
 
+Quote Post
Gate
сообщение Aug 27 2006, 16:58
Сообщение #2


Знающий
****

Группа: Свой
Сообщений: 859
Регистрация: 7-04-05
Из: Санкт-Петербург
Пользователь №: 3 943



Добавка в 1.1.3
09) VHDL handbook
http://www.hardi.com/haps/literature/VHDL-Handbook.pdf


--------------------
"Человек - это существо, которое охотнее всего рассуждает о том, в чем меньше всего разбирается." (с) С.Лем
Go to the top of the page
 
+Quote Post
id_gene
сообщение Aug 28 2006, 08:22
Сообщение #3


carpe manana
***

Группа: Свой
Сообщений: 321
Регистрация: 2-06-05
Пользователь №: 5 659



Добавка в 1.1.3
10) On-line Verilog HDL Quick Reference Guide http://www.sutherland-hdl.com/on-line_ref_...og_ref_top.html
11) Hamburg VHDL archive http://tech-www.informatik.uni-hamburg.de/vhdl/
12) http://vhdl.org, включая:
........FAQ from comp.lang.vhdl http://vhdl.org/comp.lang.vhdl/
........RASSP Support Page for VHDL http://vhdl.org/rassp/vhdl/


Широкий круг статей по Verilog/SystemVerilog http://www.sunburst-design.com/papers/
Относится ко всем пунктам FAQ.
Go to the top of the page
 
+Quote Post
Thistle
сообщение Aug 28 2006, 16:03
Сообщение #4


Частый гость
**

Группа: Участник
Сообщений: 99
Регистрация: 29-11-05
Пользователь №: 11 563



ninja.gif разведка докладывает книги заданной тематики обнаружены по следующим адресам(пароли и явки прилагаются (с) Путин) :
1. Circuit Design with VHDL
http://rapidshare.de/files/5271422/MIT.Pre...4_.TLF.pdf.html
password: ebooksatkoobe
благодарим cyberwolf
2. Digital Design with CPLD Applications and VHDL
http://www.ebooksclub.org/?link=lnp148
благодарим schullo
3. Digital Design with CPLD Applications and VHDL, 2E
http://rapidshare.de/files/19836973/1401840302.rar
Password: ebooksclub.org
4. Digital Logic and Microprocessor Design with VHDL
http://rapidshare.de/files/7260676/Micropr...DL_MAZ.rar.html

OR

http://www.megaupload.com/?d=RCS50ZWI
благодарим maz
5. Fundamentals of Digital Logic with VHDL Design (McGraw-Hill Series in Electrical and Computer Engineering)

http://rapidshare.de/files/13200896/Fundam...-2005_.pdf.html

благодарим m_s_z2002
6. VHDL Coding Styles and Methodologies, 1st edition (August 31, 1995)
http://rapidshare.de/files/11508458/vcsm.rar
Password: cathome
благодарим batangass
7. RTL Hardware Design Using VHDL : Coding for Efficiency, Portability, and Scalability
http://rapidshare.de/files/21735494/Wiley....ok-BBL.rar.html

PASSWORD: ebooksatkoobe
благодарим maxxum
8. VHDL : Programming By Example
Link: http://rapidshare.de/files/7914524/VHDL.Pr...4th.Ed.rar.html
Password: ebooksclub.org

ninja.gif
Go to the top of the page
 
+Quote Post
ogurets
сообщение Sep 13 2006, 20:37
Сообщение #5


Местный
***

Группа: Свой
Сообщений: 220
Регистрация: 21-06-04
Пользователь №: 77



цитата
3. Digital Design with CPLD Applications and VHDL, 2E
http://rapidshare.de/files/19836973/1401840302.rar
Password: ebooksclub.org
конец цитаты

Это никакое не второе издание, а самое что ни есть предыдущее 2000 года 841 стр по MAX+PLUS II :(
Во втором издании 1024 pages и VHDL and Quartus II

Сообщение отредактировал ogurets - Sep 13 2006, 20:39
Go to the top of the page
 
+Quote Post
Doka
сообщение Sep 26 2006, 14:26
Сообщение #6


Electrical Engineer
******

Группа: СуперМодераторы
Сообщений: 2 163
Регистрация: 4-10-04
Пользователь №: 778



Цитата(KuklaVod @ Sep 26 2006, 14:25) *
Люди а есть че нить на русском?
или совсем бывает ли?
http://www.plis.ru/page.php?id=5


--------------------
Блог iDoka.ru
CV linkedin.com/in/iDoka
Sources github.com/iDoka


Never stop thinking...........................
Go to the top of the page
 
+Quote Post
Partisan
сообщение Sep 28 2006, 04:13
Сообщение #7


Участник
*

Группа: Новичок
Сообщений: 19
Регистрация: 11-05-06
Пользователь №: 16 983



Цитата(ogurets @ Sep 28 2006, 03:52) *
Дж. Уэйкерли Проектирование цифровых устройств в 2-х т. Постмаркет Москва 2002 г., всего 1088 стр.
Про ABEL и VHDL. djvu 12 метров
было найдено у вьетнамцев (если я ничего не перепутал) на www.vsofts.net , правда под названием Digital Design (3rd Edition) M. Morris Mano Morris M Mano, загружал модератор по нику Vodka1504, мож чего перепутал smile.gif
Forum > Resources > Ebook > Electronics

http://server1.vsofts.net/Ebooks/Electroni...kerly.part1.rar
http://server1.vsofts.net/Ebooks/Electroni...kerly.part2.rar
http://server1.vsofts.net/Ebooks/Electroni...kerly.part3.rar
http://server1.vsofts.net/Ebooks/Electroni...kerly.part4.rar

Pass: www.vsofts.net
Go to the top of the page
 
+Quote Post
AnubiX
сообщение May 17 2007, 11:03
Сообщение #8


Участник
*

Группа: Новичок
Сообщений: 29
Регистрация: 3-05-07
Из: Москва
Пользователь №: 27 487



На мой взгляд вот эта книга плохая - будьте внимательны:
06) The VHDL Cookbook (by Peter J. Ashenden)
Go to the top of the page
 
+Quote Post
Omen_13
сообщение Jun 18 2007, 20:29
Сообщение #9


Силовик-затейник
****

Группа: Модераторы
Сообщений: 766
Регистрация: 18-02-07
Из: Столица солнечного Башкортостана
Пользователь №: 25 467



Жаждущим рускоязычной книги - нашёл у Ихтика:
Зотов В.Ю.Проектирование цифровых устройств на основе ПЛИС фириы XILINX в САПР WebPACK ISE.2003.djvu


--------------------
"Вперёд на мины, ордена потом!"
"инжинер/разработчик создает нечто, в отличии от многих других профессий. В этом есть сходность с художниками или музыкантами"(с)CodeWarrior1241
Go to the top of the page
 
+Quote Post
Сергей s7
сообщение May 26 2008, 10:01
Сообщение #10


Участник
*

Группа: Новичок
Сообщений: 22
Регистрация: 28-04-08
Пользователь №: 37 137



Подскажите, с чего начать, если начинаю практически с 0!!!!!!!!!!!!!! help.gifСпасибо!
Go to the top of the page
 
+Quote Post
Maverick
сообщение May 26 2008, 11:50
Сообщение #11


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(Сергей s7 @ May 26 2008, 13:01) *
Подскажите, с чего начать, если начинаю практически с 0!!!!!!!!!!!!!! help.gifСпасибо!


Ниже русскоязычные источники и книги

Сергиенко VHDL для проектирования вычислительных устройств 2003
http://www.infanata.org/2006/10/29/vhdl_dl...gienko_a_m.html

Бибило П.Н. Основы языка VHDL
http://www.infanata.org/2007/03/21/osnovy_jazyka_vhdl.html

Е.А Суворова с Ю. Е. Шейнин Проектирование цифровых систем на vhdl
http://www.infanata.org/2006/10/19/proekti...em_na_vhdl.html

Поляков А. К. Языки VHDL и Verilog
http://www.infanata.org/2007/02/11/poljako...apparatury.html


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
MrYuran
сообщение May 26 2008, 11:53
Сообщение #12


Беспросветный оптимист
******

Группа: Свой
Сообщений: 4 640
Регистрация: 26-12-07
Из: Н.Новгород
Пользователь №: 33 646



чё-то я щас прошёлся, половина ссылок не работает..


--------------------
Программирование делится на системное и бессистемное. ©Моё :)
— а для кого-то БГ — это Bill Gilbert =)
Go to the top of the page
 
+Quote Post
Антон_ВТ
сообщение Jul 30 2008, 14:53
Сообщение #13





Группа: Новичок
Сообщений: 2
Регистрация: 7-04-08
Пользователь №: 36 526



http://systemc.dax.ru - обучающий материал по SystemC.
Go to the top of the page
 
+Quote Post
CaPpuCcino
сообщение Oct 17 2008, 17:37
Сообщение #14


тоже уже Гуру
******

Группа: Свой
Сообщений: 2 047
Регистрация: 13-06-05
Из: Кёлн - Санкт-Петербург
Пользователь №: 5 973



люди добрые, объясните, какой бит какому будет присвоен в Верилоге в следующем случае:

module little_big_assignment;
reg [0:7] little;
reg [3:0] big;
initial
little=big;
endmodule

спс


--------------------
И снова на арене цирка - дрессированные клоуны!! Оказываем консультации по электронике за симпу круглосуточно.
Go to the top of the page
 
+Quote Post
des00
сообщение Oct 20 2008, 02:59
Сообщение #15


Вечный ламер
******

Группа: Модераторы
Сообщений: 7 248
Регистрация: 18-03-05
Из: Томск
Пользователь №: 3 453



Цитата(CaPpuCcino @ Oct 17 2008, 12:37) *
люди добрые, объясните, какой бит какому будет присвоен в Верилоге в следующем случае:

module little_big_assignment;
reg [0:7] little;
reg [3:0] big;
initial
little=big;
endmodule


ИМХО :

little[0:3] = 3'bxxxx
little[4] = big[3]
little[5] = big[2]
little[6] = big[1]
little[7] = big[0]

ответ основываю на стандарте :

3.3.1 Specifying vectors

Цитата
The range specification gives addresses to the individual bits in a multibit net or reg. The most significant bit
specified by the msb constant expression is the left-hand value in the range and the least significant bit spec-
ified by the lsb constant expression is the righthand value in the range.


Как я понял msb всегда стоит слева, lsb справа. не важно какие индексы. И арифметика всегда работает по этим правилам.

Косвенное подтверждение этому

4.2.1 Vector bit-select and part-select addressing

Цитата
Example 1 The following example specifies the single bit of acc vector that is addressed by the operand
index.
acc[index]
The actual bit that is accessed by an address is, in part, determined by the declaration of acc. For instance,
each of the declarations of acc shown in the next example causes a particular value of index to access a
different bit:
reg [15:0] acc;
reg [2:17] acc


--------------------
Go to the top of the page
 
+Quote Post

10 страниц V   1 2 3 > » 
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 19th April 2024 - 21:28
Рейтинг@Mail.ru


Страница сгенерированна за 0.01525 секунд с 7
ELECTRONIX ©2004-2016