реклама на сайте
подробности

 
 
6 страниц V  « < 3 4 5 6 >  
Reply to this topicStart new topic
> Digilent программатор Xilinx, кто пользовал?
kleverd
сообщение Apr 29 2014, 17:52
Сообщение #61


Частый гость
**

Группа: Свой
Сообщений: 131
Регистрация: 26-04-14
Из: Москва
Пользователь №: 81 525



По всей видимости пины ADBUS5 ADBUS6 ADBUS7 - это управление буферами соответствующих каналов, т.к сигнал на них инвертирован.
А распределение информационных линий стандартное (ADBUS0 - ADBUS3). Ну и методом исключения - буфер TDO управляется ADBUS4.

Сообщение отредактировал kleverd - Apr 29 2014, 17:56
Go to the top of the page
 
+Quote Post
kleverd
сообщение Jun 2 2014, 16:49
Сообщение #62


Частый гость
**

Группа: Свой
Сообщений: 131
Регистрация: 26-04-14
Из: Москва
Пользователь №: 81 525



Опытным путем подобрал содержимое файла с конфигурацией для JTAG HS2


interface ftdi
ftdi_vid_pid 0x0403 0x6014
adapter_khz 6000
ftdi_layout_init 0x20e8 0x3feb
ftdi_layout_signal nSRST -data 0x2000
ftdi_layout_signal GPIO2 -data 0x2000
ftdi_layout_signal GPIO1 -data 0x0200
ftdi_layout_signal GPIO0 -data 0x0100

Теперь вроде как сканирует цепь устройств.
Но ошибка выдается
Error: auto0.tap: IR capture error; saw 0x0000 not 0x0001


Сообщение отредактировал kleverd - Jun 2 2014, 16:51
Go to the top of the page
 
+Quote Post
rloc
сообщение Jun 3 2014, 04:07
Сообщение #63


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Цитата(kleverd @ Apr 30 2014, 02:02) *
Ну и методом исключения - буфер TDO управляется ADBUS4.

TDO - это вход, для него нет буфера с третьим состоянием, а ADBUS4 соединяется с ADBUS0 напрямую (возможно ранее была задумана адаптивная частота для ARM и цепочка замыкалась соответственно через процессор). Помимо буферов есть еще пара мультиплексоров. Один мультиплексор управляет выбором сигнала для выхода TMS: либо ADBUS1 (ACBUS6=0), либо ADBUS3 (ACBUS6=1). Другой мультиплексор управляет выбором источника для входа ADBUS2: либо сигнал обратно заворачивается с TMS (ACBUS7=0), либо берется со входа TDO (ACBUS7=1).
Go to the top of the page
 
+Quote Post
bloody-wolf
сообщение Dec 8 2014, 23:56
Сообщение #64


Местный
***

Группа: Свой
Сообщений: 220
Регистрация: 15-05-09
Пользователь №: 49 132



Форумчане, особенно rloc, я извиняюсь за "воскрешение" так сказать темы, но хотелось бы прояснить один момент, а именно распиновку JTAG SMT2 касательно части GPIO0..2 и ADBUS6/7
rloc некоторое количество постов назад написал:
"Отвечаю на вопросы:
1) Замкнуты ADBUS0 и ADBUS4.
2) EEPROM есть на всех платах - 93LC56BT (для HS2 и SMT2 VID_PID=0403_6014).
3) ADBUS7 можно управлять третьим состоянием буферов JTAG-выходов (0 - третье состояние).
4) ACBUS5 используется для сброса Zync, либо как GPIO2, ACBUS4 переводит выходной буфер в третье состояние, либо меняет направление (1 - выход, 0 - вход или третье состояние).
5) ACBUS0/ACBUS1 в SMT2 дополнительно используются для GPIO0/GPIO1, ACBUS3/ACBUS4 - для управления направлением соответствующих буферов GPIO (1 - выход, 0 - вход или третье состояние).
6) ADBUS5/ADBUS6 в HS2 и SMT2 дополнительно используются для режима SPI (подробности опускаю).

"
смущает в пунктах 4 и 5 наличие одной и тойже ноги ACBUS4, это ошибка или так и есть? хочеться повторить девайс, однако в наличии на предмет "пощупать" есть только SMT1, а хотелось бы SMT2.
возможно ли уточнить еще раз, на какие ноги ft232H какие функции назначены?


(по моему мнению скорее всего должно быть так, но могу ошибатьсяsm.gif
ACBUS0 = GPIO.0
ACBUS1 = GPIO.1
ACBUS2 = GPIO.0.ENABLE 1=out 0=in
ACBUS3 = GPIO.1.ENABLE 1=out 0=in
ACBUS4 = GPIO.2.ENABLE 1=out 0=in
ACBUS5 = GPIO.2
я так понимаю, что буферы на этих ногах что-то типа sn74lvc1t45


по мультиплексорам и тому, что digilent попытались сделать "2 wire JTAG", я так понимаю, что мультиплексоры что-то типа 74lvc1g157,
правда несколько непонятно по ADBUS5..7 (или ACBUS5..7) что чем управляет.

и остаются еще просто буферы на TCK ADBUS0 и TDI ADBUS1, что-то типа 74lvc1g125

и с резетом чет не сходится, он тупо на ACBUS5 генерится, или как то отдельно заведен.

Сообщение отредактировал bloody-wolf - Dec 9 2014, 01:32
Go to the top of the page
 
+Quote Post
rloc
сообщение Dec 9 2014, 05:08
Сообщение #65


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Логика - из серии TinyLogic, типа NC7SZ125 с питанием от 1.65 В до 5.5 В. По соединениям - ближе к вечеру.
Go to the top of the page
 
+Quote Post
bloody-wolf
сообщение Dec 9 2014, 07:11
Сообщение #66


Местный
***

Группа: Свой
Сообщений: 220
Регистрация: 15-05-09
Пользователь №: 49 132



Цитата(rloc @ Dec 9 2014, 08:08) *
Логика - из серии TinyLogic, типа NC7SZ125 с питанием от 1.65 В до 5.5 В. По соединениям - ближе к вечеру.


жду с нетерпением wink.gif пасибы
Go to the top of the page
 
+Quote Post
rloc
сообщение Dec 9 2014, 20:09
Сообщение #67


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Напоследок проверил, должно быть так:



HS2 - тоже самое, но без GPIOx. В ZedBoard - мультиплексоров нет, третье состояние TCK, TDI и TMS контролируется одним сигналом - AD7. И еще раз напомню, AD0 и AD4 соединяются вместе только потому, что раньше на AD4 возвращался тактовый сигнал через ARM и адаптивно выбиралась частота.
Go to the top of the page
 
+Quote Post
bloody-wolf
сообщение Dec 10 2014, 09:32
Сообщение #68


Местный
***

Группа: Свой
Сообщений: 220
Регистрация: 15-05-09
Пользователь №: 49 132



Цитата(rloc @ Dec 9 2014, 23:09) *
Напоследок проверил, должно быть так:


ВАХ! я у себя на листочке, так сказать, примерно тоже самое изобразил, непонятно было только с управленией OE буферов.
Я премного благодарствую вам, rloc. Пойду рисовать кусок схемы и платы )

А соответственно по памяти подойдет тот дамп, что здесь выкладывался, я правильно понял? 93LC56B... 16bit wide 2kbit


Сообщение отредактировал bloody-wolf - Dec 10 2014, 09:37
Go to the top of the page
 
+Quote Post
rloc
сообщение Dec 10 2014, 10:31
Сообщение #69


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Да
Go to the top of the page
 
+Quote Post
dima2640
сообщение Mar 29 2015, 16:07
Сообщение #70


Участник
*

Группа: Участник
Сообщений: 16
Регистрация: 14-03-13
Из: москва
Пользователь №: 76 028



Digilent JTAG-HS2 + Программатор MBFTDI в среде Altera Quartus:

Pcb+schematic+eeprom

eeprom ept file:

[Basic Details]
Device Type=9
VID PID Type=1
USB VID=0403
USB PID=6010
[USB Power Options]
Bus Powered=1
Self Powered=0
Max Bus Power=500
[USB Serial Number Control]
Prefix=21
Use Fixed Serial Number=0
Fixed Serial Number=210249854606
[USB Remote WakeUp]
Enable Remote WakeUp=0
[Windows Plug and Play]
Enable Plug and Play=0
[USB String Descriptors]
Manufacturer=Digilent
Product=Digilent USB Device
[Programming Options]
Only Program Blank Devices=1
[BM Device Specific Options]
USB Version Number=0
Disable Serial Number=0
IO Pin Pull Down in Suspend=0
[Dual Device Specific Options A]
RS 232 mode=1
245 FIFO mode=0
245 CPU FIFO mode=0
OPTO Isolate mode=0
High Current Drive=0
[Dual Device Specific Options B]
RS 232 mode=1
245 FIFO mode=0
245 CPU FIFO mode=0
OPTO Isolate mode=0
High Current Drive=0
[Dual Device Driver Options A]
Virtual Com Port Driver=1
D2XX Driver=0
[Dual Device Driver Options B]
Virtual Com Port Driver=1
D2XX Driver=0
[R Device Specific Options]
Invert TXD=0
Invert RXD=0
Invert RTS#=0
Invert CTS#=0
Invert DTR#=0
Invert DSR#=0
Invert DCD#=0
Invert RI#=0
C0 Signal=-1
C1 Signal=-1
C2 Signal=-1
C3 Signal=-1
C4 Signal=-1
Enable Ext Osc=0
High Current I/O=0
Load D2XX Driver=0
In EndPoint Size=0
[DualHS Device Specific Options]
IFAIsFifo7=1
IFAIsFifoTar7=0
IFAIsFastSer7=0
AIsVCP7=0
IFBIsFifo7=0
IFBIsFifoTar7=0
IFBIsFastSer7=0
BIsVCP7=1
IOPinDriveDual=0
UTMIDrive=0
UTMIVendor=0
SuspendOnD7Low=0
[QuadHS Device Specific Options]
AIsVCP8=1
BIsVCP8=1
CIsVCP8=1
DIsVCP8=1
ARIisTXDEN=0
BRIisTXDEN=0
CRIisTXDEN=0
DRIisTXDEN=0
IOPinDriveQuad=0

Сообщение отредактировал dima2640 - Mar 29 2015, 16:08
Прикрепленные файлы
Прикрепленный файл  digilent_jtag_hs2_mblaster.rar ( 533.1 килобайт ) Кол-во скачиваний: 441
 
Go to the top of the page
 
+Quote Post
rloc
сообщение Mar 29 2015, 16:18
Сообщение #71


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Цитата(dima2640 @ Mar 29 2015, 20:07) *
Digilent JTAG-HS2 + Программатор MBFTDI в среде Altera Quartus:

Поясните, что Вы хотели этим сказать.
Go to the top of the page
 
+Quote Post
dima2640
сообщение Mar 29 2015, 17:28
Сообщение #72


Участник
*

Группа: Участник
Сообщений: 16
Регистрация: 14-03-13
Из: москва
Пользователь №: 76 028



Цитата(rloc @ Mar 29 2015, 20:18) *
Поясните, что Вы хотели этим сказать.


программатор Xilinx и программатор Альтеры
в одном флаконе
Архив смотрели?

Сообщение отредактировал dima2640 - Mar 29 2015, 17:28
Go to the top of the page
 
+Quote Post
rloc
сообщение Mar 29 2015, 17:34
Сообщение #73


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Цитата(dima2640 @ Mar 29 2015, 21:28) *
программатор Xilinx и программатор Альтеры
в одном флаконе
Архив смотрели?

Где об этом в архиве сказано? Программатор поддерживается средой Quartus без дополнительных драйверов? С Altera не работаю, не в курсе.
Go to the top of the page
 
+Quote Post
dima2640
сообщение Mar 29 2015, 17:46
Сообщение #74


Участник
*

Группа: Участник
Сообщений: 16
Регистрация: 14-03-13
Из: москва
Пользователь №: 76 028



Цитата(rloc @ Mar 29 2015, 21:34) *
Где об этом в архиве сказано?

папка "Программатор MBFTDI в среде Altera Quartus".

Есть сайт marsohod.org по Альтере
там сделали плагин под Quartus
и программатор MBlaster на ft2232h mpsse
аналог "Digilent программатор"
А я развёл плату и слил дамп в файлы
для ftprog и Mprog может кому пригодиться.
Всё проверено и работает.
Go to the top of the page
 
+Quote Post
rloc
сообщение Mar 29 2015, 17:51
Сообщение #75


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Почему нельзя было статично прилинковать ftd2xx.dll?
Go to the top of the page
 
+Quote Post

6 страниц V  « < 3 4 5 6 >
Reply to this topicStart new topic
7 чел. читают эту тему (гостей: 7, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 16th April 2024 - 10:57
Рейтинг@Mail.ru


Страница сгенерированна за 0.01506 секунд с 7
ELECTRONIX ©2004-2016