реклама на сайте
подробности

 
 
10 страниц V   1 2 3 > »   
Reply to this topicStart new topic
> QUARTUS, MODELSIM, Проект по переводу документации
aosp
сообщение Jan 22 2005, 18:40
Сообщение #1


к.т.н.
***

Группа: Модераторы
Сообщений: 242
Регистрация: 21-06-04
Из: Санкт–Петербург, Россия
Пользователь №: 75



Господа, подскажите пожалуйста, где можно было бы взять
русские описание вышеобозначенных пакетов.
Цель – составление электронного конспекта лекций по курсу,
а также разработка методички и лабораторных работ.

Интересует электронные версии документов по QUARTUS
и MODELSIM. Естественно все это интересует на русском.
Go to the top of the page
 
+Quote Post
Esquire
сообщение Jan 23 2005, 14:16
Сообщение #2


Эсквайр
*****

Группа: Почетный участник
Сообщений: 1 013
Регистрация: 19-06-04
Из: • ℮lectronﭑχ •
Пользователь №: 62



С определенной натяжкой можно считать методическим материалом по Quartus выложенное эфовцами здесь.

P.S. В свое время я начал переводить на русский наиболее интересные с точки зрения проектировщика, т.е. самые неочевидные при изучении разделы Quartus Handbook, но работа застопорилась в связи с нехваткой времени sad.gif . Желающие обрести всероссийскую известность (и владеющие при этом техническим английским) могут присоединяться к процессу cheers.gif .


--------------------
Кто ищет, тот всегда найдет
Go to the top of the page
 
+Quote Post
alex_elect
сообщение Jan 24 2005, 09:19
Сообщение #3


Частый гость
**

Группа: Свой
Сообщений: 118
Регистрация: 22-06-04
Пользователь №: 115



А какие именно наиболее интересные с точки зрения проектировщика разделы Quartus Handbook? Можем начнем с оглашения списка того чего хотелось бы иметь.
Go to the top of the page
 
+Quote Post
Esquire
сообщение Jan 24 2005, 09:43
Сообщение #4


Эсквайр
*****

Группа: Почетный участник
Сообщений: 1 013
Регистрация: 19-06-04
Из: • ℮lectronﭑχ •
Пользователь №: 62



Много их wacko.gif , вот примерный перечень глав, которых хотелось иметь на русском языке:

Design Recommendations for Altera Devices
Recommended HDL Coding Styles
Tcl Scripting
Design Optimization for Altera Devices
Netlist Optimizations & Physical Synthesis
LogicLock Design Methodology
PowerPlay Power Analyzer
Quick Design Debugging Using SignalProbe
Design Debugging Using the SignalTap II Embedded Logic Analyzer
In-System Updating of Memory & Constants
Design Analysis & Engineering Change Management with Chip Editor

Перевод выделенной главы я уже сделал, дело осталось за малым smile.gif


--------------------
Кто ищет, тот всегда найдет
Go to the top of the page
 
+Quote Post
PavelS
сообщение Mar 13 2005, 11:02
Сообщение #5


Участник
*

Группа: Свой
Сообщений: 50
Регистрация: 13-03-05
Из: Челябинск
Пользователь №: 3 302



Цитата(Esquire @ Jan 24 2005, 15:43)
Много их wacko.gif , вот примерный перечень глав, которых хотелось иметь на русском языке:

Design Recommendations for Altera Devices
Recommended HDL Coding Styles
Tcl Scripting
Design Optimization for Altera Devices
Netlist Optimizations & Physical Synthesis
LogicLock Design Methodology
PowerPlay Power Analyzer
Quick Design Debugging Using SignalProbe
Design Debugging Using the SignalTap II Embedded Logic Analyzer
In-System Updating of Memory & Constants
Design Analysis & Engineering Change Management with Chip Editor

Перевод выделенной главы я уже сделал, дело осталось за малым  smile.gif
*


Если есть общий интерес, может разберем по главам и переведем всем миром? Интересно сколько жаждущих, способных и меющих свободное время? cranky.gif
Go to the top of the page
 
+Quote Post
Esquire
сообщение Mar 13 2005, 17:31
Сообщение #6


Эсквайр
*****

Группа: Почетный участник
Сообщений: 1 013
Регистрация: 19-06-04
Из: • ℮lectronﭑχ •
Пользователь №: 62



Если готов присоединиться к проекту, бери для перевода следующую главу "Recommended HDL Coding Styles".

По поводу желающих и т.д. могу сказать, что самое главное здесь - начать работу a14.gif .


--------------------
Кто ищет, тот всегда найдет
Go to the top of the page
 
+Quote Post
PavelS
сообщение Mar 14 2005, 14:06
Сообщение #7


Участник
*

Группа: Свой
Сообщений: 50
Регистрация: 13-03-05
Из: Челябинск
Пользователь №: 3 302



Цитата(Esquire @ Mar 13 2005, 23:31)
Если готов присоединиться к проекту, бери для перевода следующую главу "Recommended HDL Coding Styles".

По поводу желающих и т.д. могу сказать, что самое главное здесь - начать работу a14.gif .
*


Времени не много, быстро не получится, но попробую взяться.
huh.gif
Go to the top of the page
 
+Quote Post
vovic
сообщение Mar 14 2005, 17:22
Сообщение #8


Участник
*

Группа: Свой
Сообщений: 46
Регистрация: 7-08-04
Пользователь №: 464



Присоединяюсь к проекту и беру на себя "PowerPlay Power Analyzer" - занимался недавно по работе, поэтому мне проще будет перевести эту главу.
Go to the top of the page
 
+Quote Post
Esquire
сообщение Mar 14 2005, 19:07
Сообщение #9


Эсквайр
*****

Группа: Почетный участник
Сообщений: 1 013
Регистрация: 19-06-04
Из: • ℮lectronﭑχ •
Пользователь №: 62



Тем временем возьмусь за перевод следующей свободной главы Tcl Scripting.

PavelS
vovic

a14.gif

ALL
Пролетарии всех стран, присоединяйтесь! [к проекту].

P.S. Вывесил тему в шапку, пусть народ еще присоединяется к проекту.


--------------------
Кто ищет, тот всегда найдет
Go to the top of the page
 
+Quote Post
aosp
сообщение Mar 15 2005, 08:50
Сообщение #10


к.т.н.
***

Группа: Модераторы
Сообщений: 242
Регистрация: 21-06-04
Из: Санкт–Петербург, Россия
Пользователь №: 75



Я бы пошел по другому пути, лучше бы не в тупую переводить доки от альтеры и для альтеры, а на основе этих документов излагать материал более общего и концептуального характера.

Главный вопрос это цель перевода...
Go to the top of the page
 
+Quote Post
Esquire
сообщение Mar 15 2005, 12:16
Сообщение #11


Эсквайр
*****

Группа: Почетный участник
Сообщений: 1 013
Регистрация: 19-06-04
Из: • ℮lectronﭑχ •
Пользователь №: 62



aosp
Цель проекта состоит именно в переводе документации по Quartus для разработчиков, а не написание книги для начинающих по ПЛИС и HDL, каковых существует достаточно много.


--------------------
Кто ищет, тот всегда найдет
Go to the top of the page
 
+Quote Post
aosp
сообщение Mar 15 2005, 12:51
Сообщение #12


к.т.н.
***

Группа: Модераторы
Сообщений: 242
Регистрация: 21-06-04
Из: Санкт–Петербург, Россия
Пользователь №: 75



Честно сказать, я не в восторге от этой идеи ;(
Альтра есть альтера и квартус у нее не вечен.
Потратить столько сил чтобы потом чего нить поменяли в квартусе и это все стали никому не нужным...

Ну не знаю, не знаю. У меня нет столько свободного времени.
Go to the top of the page
 
+Quote Post
PavelS
сообщение Mar 15 2005, 14:24
Сообщение #13


Участник
*

Группа: Свой
Сообщений: 50
Регистрация: 13-03-05
Из: Челябинск
Пользователь №: 3 302



Цитата(aosp @ Mar 15 2005, 18:51)
Честно сказать, я не в восторге от этой идеи ;(
Альтра есть альтера и квартус у нее не вечен.
Потратить столько сил чтобы потом чего нить поменяли в квартусе и это все стали никому не нужным...

Ну не знаю, не знаю. У меня нет столько свободного времени.
*

Квартус конечно не вечен. Но Вы наверняка согласитесь с тем, что документации на русском языке по теме исчезающе мало! А представители Алтеры (ЭФО и Гамма), которые кровно заинтересованы в продвижении этой марки, не очень-то и разбежались обеспечить переводы.
А вообще, часть разделов имеют характер общего применения.
Поэтому, я считаю, это будет полезно.
Go to the top of the page
 
+Quote Post
aosp
сообщение Mar 16 2005, 06:48
Сообщение #14


к.т.н.
***

Группа: Модераторы
Сообщений: 242
Регистрация: 21-06-04
Из: Санкт–Петербург, Россия
Пользователь №: 75



Да, согласен, документации на русском мало.
Но! Переводом справочников сильно ситуацию не поправишь.
Ну переведем мы этот квартус, а дальше?

Я бы предложил переводимый материал брать в качестве опорного,
а дальше уже писать каждый по своему направлению, с критикой,
обсуждениями, спорами и т.д.
Чтобы в конце концов появился некий ананалитическо–практический материал, которому бы можно было и учится и применять живьем на практике.

Вот некоторые материалы из моих лекций, не все и не всегда здесь писал я сам, но работа проделана и ею пользуются мои студенты, которых я учу не только квартусу и альтере.

Да, вот еще, кое что.

И еще...
Go to the top of the page
 
+Quote Post
aosp
сообщение Mar 16 2005, 06:54
Сообщение #15


к.т.н.
***

Группа: Модераторы
Сообщений: 242
Регистрация: 21-06-04
Из: Санкт–Петербург, Россия
Пользователь №: 75



Я готов обсуждать и корректировать материал.
С предложениями в мыло...

Сейчас пытаюсь писать про верификацию и тестбенчи.
Go to the top of the page
 
+Quote Post

10 страниц V   1 2 3 > » 
Reply to this topicStart new topic
2 чел. читают эту тему (гостей: 2, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th April 2024 - 11:41
Рейтинг@Mail.ru


Страница сгенерированна за 0.01494 секунд с 7
ELECTRONIX ©2004-2016