реклама на сайте
подробности

 
 
 
Reply to this topicStart new topic
> QustaSim от Intel
Muscat
сообщение Sep 23 2018, 16:47
Сообщение #1


Местный
***

Группа: Свой
Сообщений: 277
Регистрация: 8-04-09
Из: Москва
Пользователь №: 47 382



Всем привет, есть вопрос по Questa Sim

Пробую бесплатную лицензию от Intel, симулю SystemVerilog. В смотрелке переменных пропадают многомерные массивы. Одномерные есть. Это ограничение бесплатной лицензии?


--------------------
Because it's there
Go to the top of the page
 
+Quote Post
RobFPGA
сообщение Sep 23 2018, 17:13
Сообщение #2


Профессионал
*****

Группа: Свой
Сообщений: 1 214
Регистрация: 23-12-04
Пользователь №: 1 643



Приветствую!
Цитата(Muscat @ Sep 23 2018, 19:47) *
Всем привет, есть вопрос по Questa Sim

Пробую бесплатную лицензию от Intel, симулю SystemVerilog. В смотрелке переменных пропадают многомерные массивы. Одномерные есть. Это ограничение бесплатной лицензии?
А Вы как добавляете сигналы для просмотра? Наверное тяните из Instance мышкой
или скриптом "add wave ".../instance_name/*" ? В этом случае массивы автоматом не добавляются в wave. Это особенность Modelsim/Questa. Тут нужно явное доказательство сознания разраработчика (а вдруг у вас там в массив 1e6 на 1e6) sm.gif
Поэтому нужно добавлять непосредственно требуемый массив из Object (ну или "add wave ".../instance_name/array_name)"

Удачи! Rob.



Go to the top of the page
 
+Quote Post
Muscat
сообщение Sep 24 2018, 04:31
Сообщение #3


Местный
***

Группа: Свой
Сообщений: 277
Регистрация: 8-04-09
Из: Москва
Пользователь №: 47 382



Цитата(RobFPGA @ Sep 23 2018, 21:13) *
Приветствую!
А Вы как добавляете сигналы для просмотра? Наверное тяните из Instance мышкой
или скриптом "add wave ".../instance_name/*" ? В этом случае массивы автоматом не добавляются в wave. Это особенность Modelsim/Questa. Тут нужно явное доказательство сознания разраработчика (а вдруг у вас там в массив 1e6 на 1e6) sm.gif
Поэтому нужно добавлять непосредственно требуемый массив из Object (ну или "add wave ".../instance_name/array_name)"

Удачи! Rob.



Хорошая попытка угадать, но нет sm.gif

1. В других версиях квесты купленных за деньги многомерные массивы (packed/unpacked) все видны в списке обьектов. в бесплатном моделсиме от интела не видны. Про Е6 и сознание не понял.
2. Через прямую команду пробовал add wave /tb/uut/array_name пробовал - object not found. Как только массив становится одномерным. то появляется в списке обьектов и по команде тоже доступен


--------------------
Because it's there
Go to the top of the page
 
+Quote Post
Muscat
сообщение Sep 24 2018, 06:01
Сообщение #4


Местный
***

Группа: Свой
Сообщений: 277
Регистрация: 8-04-09
Из: Москва
Пользователь №: 47 382



Так, если кому еще интересно

версия 10.4 c лекарством сохранила проблему. Дело не в интеле.

Пробую вот такие варианты

Код
logic [8191-1:0]        ram_buffer0 ;
logic [8192-1:0]        ram_buffer1 ;
logic [4000-1:0][2-1:0] ram_buffer2 ;


Пробую вот такие массивы. Массив 0 в списке объектов есть, массив 2 есть, массива 1 нет.
Квеста не показывает в списке объектов переменную, если в ней более 8191 элемента.
Ее нельзя добавить ни в лист, ни в вейвы, потому что не видна как объект

Код
add wave -position end  vsim:/tb/UUT/ram_buffer1
Красеньким
# (vish-4014) No objects found matching 'vsim:/row_buffering_tb/UUT/ram_buffer1'.





продолжаю исследование

Код
logic [10000-1:0][5:0] test_ram_buffer_long ;
logic               [5:0] test_ram_buffer_last ;
assign test_ram_buffer_long[10] = 42;
assign test_ram_buffer_last = test_ram_buffer_long[10];


Переменная test_ram_buffer_last сохраняет свое значение, в смотрелке вейформ видно ее присвоение. Но объект test_ram_buffer_long не виден.

То есть бага не симуляции, а видимо того что дампится в WLF, а что нет. на рабочей тачке такого горюшка не наблюдал, но там RHEL. Дома win7, 16GB RAM.


--------------------
Because it's there
Go to the top of the page
 
+Quote Post
RobFPGA
сообщение Sep 24 2018, 08:55
Сообщение #5


Профессионал
*****

Группа: Свой
Сообщений: 1 214
Регистрация: 23-12-04
Пользователь №: 1 643



Приветствую!
Цитата(Muscat @ Sep 24 2018, 09:01) *
... версия 10.4 c лекарством сохранила проблему. Дело не в интеле.
Пробую вот такие варианты

Код
logic [8191-1:0]        ram_buffer0;
logic [8192-1:0]        ram_buffer1;
logic [4000-1:0][2-1:0] ram_buffer2;


Пробую вот такие массивы. Массив 0 в списке объектов есть, массив 2 есть, массива 1 нет.
Квеста не показывает в списке объектов переменную, если в ней более 8191 элемента.
Ее нельзя добавить ни в лист, ни в вейвы, потому что не видна как объект
Что то у вас не так ... sad.gif

У меня что в 10.4e что в 10.6c все пучком (Win7, 16GB) Но вот добавление buf3 на wave было медленно, что вполне ожидаемо так как массив buf3 16K x 128 x 32, ну и памяти vsim при этом скушал ~8 GB. Это я и имел ввиду когда говорил про сознание разработчика и массивы миллион на миллион sm.gif

Удачи! Rob.
Прикрепленное изображение
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 16th April 2024 - 19:06
Рейтинг@Mail.ru


Страница сгенерированна за 0.01412 секунд с 7
ELECTRONIX ©2004-2016