Версия для печати темы

Нажмите сюда для просмотра этой темы в обычном формате

Форум разработчиков электроники ELECTRONIX.ru _ Cadence _ OrCAD. Техническая поддержка.

Автор: ОРКАДА Sep 4 2015, 09:59

Эта тема создана в помощь тем, кто работает или планирует переход на http://electronix.ru/redirect.php?http://www.orcada.ru/product/cadence/cadence-OrCAD/. У кого есть вопросы – пожалуйста, задавайте. При необходимости вы можете отправить письмо с описанием проблемы на наш почтовый адрес support@orcada.ru. Не забудьте приложить скриншоты, проектные и другие файлы, которые помогут ускорить решение вопроса.

Автор: Натали Sep 6 2015, 15:41

Здравствуйте,

Могу ли я к Вам обратится по такому вопросу: Я студентка и мне нужно закончить проект в cadence (Времени и знаний к сожалению не хватает, постоянно fatal error и уже не знаю что с этим делать) Проект небольшой, для опытных пользователей может даже очень простой. Можете ли Вы мне помочь в этом?, соответственно за денежное вознаграждение которое сами определите после того как увидите объем работы.

Я заранее прошу прощения если обратилась не по адресу.


Спасибо,
Наталия
tarasiuknatalia@gmail.com

Автор: ОРКАДА Sep 6 2015, 20:52

Здравствуйте!

Отправьте на support@orcada.ru описание задачи и файлы проекта.

Автор: Bear_ku Sep 7 2015, 03:16

Добрый день. Проблема возникла уже давно, решить пока не получается. При создании нового проекта PSpice или открытии уже существующего выскакивают ошибки и анализ схемы не проводится.
Переустановка, обновление, запуск от администратора и в совместимостях не помогли.

 

Автор: ОРКАДА Sep 7 2015, 07:34

Здравствуйте!

1. Закройте Capture и PSpice. Откройте Диспетчер задач и завершите процессы:

- capture.exe
- cdsMsgServer.exe
- cdsNameServer.exe
- MrkSrvr.exe
- pspice.exe
- pspiceexplorersrvr.exe
- simmgr.exe
- simsrvr.exe

2. Откройте OrCAD Capture и перейдите в командную строку View — Toolbar — Command Window.
3. Наберите команду DboTclHelper_ReRegisterOrCADPlugins



Автор: Bear_ku Sep 7 2015, 08:02

Благодарю. Все работает, а я счастлив )

Автор: KAlexn Sep 8 2015, 05:40

Как подвинуть переходное отверстие вместе с проводниками.

На плате пропала связь между ножками компонентов, как ее восстановить именно на плате.

Автор: Uree Sep 8 2015, 07:09

Либо Move, либо Slide. При попадании неподключенной трассы в центр вывода она к нему автоматом привязывается.

Автор: KAlexn Sep 8 2015, 07:42

Цитата(Uree @ Sep 8 2015, 10:09) *
При попадании неподключенной трассы в центр вывода она к нему автоматом привязывается.


Несколько не так: проводник есть и он подключен к другим ножкам, а вот ножка компонента потеряла связь с этой цепью.
Проще, есть два резистора на плате, как их подключить между собой без схемы.

Автор: Uree Sep 8 2015, 08:09

Лучше через схему, иначе при следующем движении опять связи развалятся.
Если схемы нет в принципе - то в меню Logic нужно смотреть. Хотя если ее нет, то что там вообще делать...

Автор: KAlexn Sep 8 2015, 11:38

Цитата(Uree @ Sep 8 2015, 11:09) *
Если схемы нет в принципе - то в меню Logic нужно смотреть.

Пока не нашел. Иногда на плате нужно разместить Компонент аналогичный заданному но с другим посадочным местом, сегодня снабженцы купят одно, а завтра ситуация поменялась.

Автор: Uree Sep 8 2015, 11:51

Ну схема же все равно должна быть??? С чего-то ведь плата проектировалась? Вот на ней и менять футпринты нужных компонентов(заодно меняя ее версии).
Чего не нашли, меню Logic?

Автор: KAlexn Sep 8 2015, 12:10

Цитата(Uree @ Sep 8 2015, 14:51) *
Ну схема же все равно должна быть??? С чего-то ведь плата проектировалась? Вот на ней и менять футпринты нужных компонентов(заодно меняя ее версии).
Чего не нашли, меню Logic?


Попытаюсь объяснить: на схеме нарисован пьезоизлучатель, он один. Но их выпускают разные фирмы с разными посадочными местами, при одинаковых параметрах.
Зачем делать несколько плат под разные пьезоизлучатели если можно использовать одну.
У меня простой вопрос. Я знаю как это делается в РСАD, в альтиуме, в OrCad Layout. А здесь я пока начинающий.

Автор: Uree Sep 8 2015, 12:36

Мне казалось, что если меняется футпринт, должны меняться слои на плате(медь/маска/паста/сверловка/шелк...). Это уже изменения платы - раз нельзя на имеющуюся впаять, значит будет новая плата.
Вечером покажу меню, нет под рукой софта, чтоб открыть и сделать скриншоты.

Автор: Bear_ku Sep 9 2015, 03:35

Любой элемент который используется на схеме должен однозначно определять то, что будет установлено на плату. Если хотите использовать несколько разных пьезоизлучателей, создайте вариантное исполнение, которое у вас все равно должно присутствовать в спецификации.

При вашем подходе можно добавить новое посадочное место на плату через Logic->Part Logic. А затем Logic->Net Logic соединить выводы.

Автор: KAlexn Sep 9 2015, 04:50

Цитата(Bear_ku @ Sep 9 2015, 06:35) *
Если хотите использовать несколько разных пьезоизлучателей, создайте вариантное исполнение, которое у вас все равно должно присутствовать в спецификации.


В спецификации я так и пишу.

Цитата(Bear_ku @ Sep 9 2015, 06:35) *
При вашем подходе можно добавить новое посадочное место на плату через Logic->Part Logic. А затем Logic->Net Logic соединить выводы.


В моем OrCad PCB Design Standard 16.6 такого нет. Т. е. новое посадочное место я могу добавить. А вот как соединить?

А что молчит техническая поддержка?

Автор: KAlexn Sep 9 2015, 07:02

Где поставить галочку что бы полигон не прорисовывался на не соединенных участках?
Мелочь но неприятно.

Автор: Uree Sep 9 2015, 07:48

У Вас вообще нет меню Logic? Это как минимум странно, кажется оно должно быть в любой лицензии.
Если найдете, то Logic -> Net Logic, в окошке Option выбираете из списка нужную цепь, а на экране кликаете в пад, который нужно подключить к этой цепи.

Нет никакой галочки для полигонов. После окончания трассировки и заливки свободного места полигонами, нужно пойти в меню Shape и выполнить команду Delete Islands - она на месте неподключенных островков полигона автоматически рисует войды и вырезает эти островки.

Автор: KAlexn Sep 9 2015, 08:26

Цитата(Uree @ Sep 9 2015, 10:48) *
У Вас вообще нет меню Logic? Это как минимум странно, кажется оно должно быть в любой лицензии.


Есть: Logic-->Identify DC Nets
-->Assing Ref Des
-->Auto Rename Ref Des

Цитата(Uree @ Sep 9 2015, 10:48) *
Нет никакой галочки для полигонов. После окончания трассировки и заливки свободного места полигонами, нужно пойти в меню Shape и выполнить команду Delete Islands - она на месте неподключенных островков полигона автоматически рисует войды и вырезает эти островки.


Спасибо. Груз предыдущих программ дает о себе знать.

Автор: Uree Sep 9 2015, 09:03

Если Logic -> Net Logic отсутствует, то беда... только через схему и апдейт конкретного футпринта можно победить.

Да, в остальных софтах либо какая-то галочка в самом полигоне, либо где-то в настройках. Здесь иначе.

Автор: Uree Sep 9 2015, 17:07

У Вас реально проблема... Не знаю где Вы нашли остатки меню Logic в своей версии, но я переключившись на лицензию Orcad PCB Designer Standard увидел следующее:



В этой версии лицензии вообще базовое меню переделано! Импорт/Экспорт отдельные менюшки, Check и Outline - такого в старших версиях вообще нет... однако неожиданно, хотя и не сказать, что не удобно. Какая-то логика в таком составе меню явно прослеживается.

Автор: ОРКАДА Sep 10 2015, 10:21

Совершенно верно. В новой версии программы 16.6-2015 по умолчанию работает новое меню, однако пользователь всегда может вернуться к старому по команде Display - Use Legacy Menu (Requires Restart). Далее перезагружаете программу и вновь видите старое меню. Для возврата к новому деактивируйте переменную orcad_use_lagacy_menu из меню Setup - User Pereferences, категория UI - General. Новое меню построено в соответствии с логикой маршрута разработки топологии слева направо - от настройки платы и создания ее контура до генерации выходных файлов для производства и обмена данными с MCAD системами. Команда Logic - Net Logic доступна только пользователям Allegro PCB Designer.

Автор: URIK-ZZZ Sep 11 2015, 15:25

Здравствуйте!
А вы не подскажете как сделать вот такой конденсатор?


Никак не получается сделать закрашенную область.

Автор: Uree Sep 12 2015, 15:09

Shape на слое Package Geometry -> Silkscreen Top, на месте вывода сделан Void.
Смотрите в прикрепленном файле.

 capr_50x100x200.zip ( 7.75 килобайт ) : 113

Автор: URIK-ZZZ Sep 12 2015, 19:39

Спасибо!!!
Вроде теперь разобрался.

Автор: qhr Sep 17 2015, 17:20

Добрый день.

Могли бы пояснить принцип добавления механических компонентов в базу данных CIP? Каким образом можно связать механические компоненты с электрическими? Каким образом 3D модель механического компонента можно добавить к посадочному месту?

Если изменить класс компонента CLASS - Mechanical, то при выгрузке схемы на плату в логе выводится сообщение:
#1 WARNING(SPMHNI-192): Device/Symbol check warning detected. [help]
WARNING(SPMHNI-194): Symbol 'DIP0' used by RefDes ECB1 for device '173798__MECHANICAL_173798_TMP-6' not found in PSMPATH or must be "dbdoctor"ed.
Alternatively, the JEDEC_TYPE is not defined for the device in the pstchip.dat.

Если же оставить класс компонента по умолчанию, пусть будет DISCRETE, то при выгрузке схемы на плату появляется сообщение:
#6 WARNING(ORCAP-36050): No pins are present in ECB1. Ignoring this component in netlist.

И, соответственно, этот компонент нигде не указывается на плате. Предположим, в BOM выгружаемый с Allegro он не попадет.


Автор: qhr Sep 18 2015, 08:31

От предупреждений избавился.

Остальные вопросы все еще актуальны.
Каким образом можно связать мех. компоненты с эл.? Например, радиатор с МС.
Каким образом можно связать 3D модель мех. компонента с корпусом МС?

Автор: Dima92 Sep 21 2015, 07:44

Здрасьте, есть два вопроса:

1. Как сделать подключение вывода к полигону сплошным? У меня сейчас получается вот так, т.е. полигон из под маски торчит, но до вывода вроде не доходит:



2. Пытаюсь создать файл сверловки, получаю ошибку. Отверстия использовал из библиотеки, там все в mils, я делаю в миллиметрах,
т.е. в легенде сверловки типичный размер - 1.6764, не хватает разрешения?



Спасибо за внимание.

Автор: Карлсон Sep 21 2015, 08:46

Цитата(Dima92 @ Sep 21 2015, 10:44) *
Здрасьте, есть два вопроса:

1. Как сделать подключение вывода к полигону сплошным? У меня сейчас получается вот так, т.е. полигон из под маски торчит, но до вывода вроде не доходит:



2. Пытаюсь создать файл сверловки, получаю ошибку. Отверстия использовал из библиотеки, там все в mils, я делаю в миллиметрах,
т.е. в легенде сверловки типичный размер - 1.6764, не хватает разрешения?



Спасибо за внимание.


1. На нужном выводе ЛКМ->ПКМ->Property edit->Dyn_Thermal_Con_Type->Full_Contact
2. Или увеличивайте точность дизайна или поменяйте всю сверловку на адекватную (modify design padstack). ИМХО второе предпочтительнее, т.к. Вы тогда точно будете знать, отверстия какого именно диаметра Вам сделают.

Автор: Anatoly Sergeev Sep 21 2015, 10:17

Цитата(qhr @ Sep 17 2015, 21:20) *
Добрый день.

Могли бы пояснить принцип добавления механических компонентов в базу данных CIP? Каким образом можно связать механические компоненты с электрическими? Каким образом 3D модель механического компонента можно добавить к посадочному месту?

Если изменить класс компонента CLASS - Mechanical, то при выгрузке схемы на плату в логе выводится сообщение:
#1 WARNING(SPMHNI-192): Device/Symbol check warning detected. [help]
WARNING(SPMHNI-194): Symbol 'DIP0' used by RefDes ECB1 for device '173798__MECHANICAL_173798_TMP-6' not found in PSMPATH or must be "dbdoctor"ed.
Alternatively, the JEDEC_TYPE is not defined for the device in the pstchip.dat.

Если же оставить класс компонента по умолчанию, пусть будет DISCRETE, то при выгрузке схемы на плату появляется сообщение:
#6 WARNING(ORCAP-36050): No pins are present in ECB1. Ignoring this component in netlist.

И, соответственно, этот компонент нигде не указывается на плате. Предположим, в BOM выгружаемый с Allegro он не попадет.


Ошибка «WARNING(SPMHNI-194): Symbol 'DIP0' used by RefDes ECB1 for device '173798__MECHANICAL_173798_TMP-6' not found in PSMPATH or must be "dbdoctor"ed» говорит о том, что PCB Editor не может найти посадочное место DIP0 в директориях psmpath и padpath. У этого посадочного места не должно быть выводов, если речь идет о механическом компоненте

Под механические компоненты лучше создавать отдельную таблицу в БД. Соответственно атрибуты Part Number, Part Type, MechPartID, MechPartQuantity могут быть указаны для каждого такого компонента в таблице.

Примеры и правила включения механических компонентов в БД Вы найдете в прилагаемых документах.

Цитата(qhr @ Sep 18 2015, 12:31) *
От предупреждений избавился.

Остальные вопросы все еще актуальны.
Каким образом можно связать мех. компоненты с эл.? Например, радиатор с МС.
Каким образом можно связать 3D модель мех. компонента с корпусом МС?


3D модель механической детали или сборки с корпусом МС можно связать на этапе создания платы. Также можно в MCAD создать сборку, состоящую из корпуса микросхемы и радиатора на нем, а затем привязать эту сборку к посадочному месту. Можно привязать STEP модель к механическому символу.


 Mech_Part.pdf ( 190.74 килобайт ) : 68
 Mech_Part_User_Guide.pdf ( 144.29 килобайт ) : 427
 

Автор: Dima92 Sep 21 2015, 10:36

Цитата(Карлсон @ Sep 21 2015, 11:46) *


Большое спасибо, уже переделываю.

Автор: Dima92 Sep 22 2015, 10:58

Цитата(Dima92 @ Sep 21 2015, 10:44) *
2. Пытаюсь создать файл сверловки, получаю ошибку. Отверстия использовал из библиотеки, там все в mils, я делаю в миллиметрах,
т.е. в легенде сверловки типичный размер - 1.6764, не хватает разрешения?

Файл сверловки смог создать только после того, как изменил разрешение, даже с нормальными значениями для отверстий. В Manufacture -> NC -> NC Parameters, в разделе Excellon format поставил 3.3, нашел что это для метрических значений, 2.4 для mils, собственно:
http://electronix.ru/redirect.php?http://www.artwork.com/gerber/drill2gbr/cadence_drill2.htm

Автор: Uree Sep 22 2015, 11:06

Формат 2.4 это для INCH, а не для MILS, но в общем верно.
Просто формат 3.3 практически стандарт де-факто для drill/route файлов, поэтому лучше использовать именно его.

Автор: Карлсон Sep 23 2015, 16:36

А не подскажет ли кто-нибудь, каким образом можно в PCB Designer'е сделать все варианты сборки одним кликом? Чтобы не нужно было каждый раз заходить в менюшку и там выбирать соответствующий вариант сборки (Manufacture->Variants->Create Assembly Drawing...)?

Автор: PCBtech Sep 23 2015, 20:23

Цитата(Карлсон @ Sep 23 2015, 19:36) *
А не подскажет ли кто-нибудь, каким образом можно в PCB Designer'е сделать все варианты сборки одним кликом? Чтобы не нужно было каждый раз заходить в менюшку и там выбирать соответствующий вариант сборки (Manufacture->Variants->Create Assembly Drawing...)?


Может, макрокоманду записать?

Автор: SSerge Sep 24 2015, 06:23

Цитата(Карлсон @ Sep 23 2015, 23:36) *
А не подскажет ли кто-нибудь, каким образом можно в PCB Designer'е сделать все варианты сборки одним кликом?

У них сейчас появился http://electronix.ru/redirect.php?http://www.orcad.com/products/orcad-documentation-editor/overview.

Автор: ОРКАДА Sep 24 2015, 07:37

В OrCAD Documentation Editor варианты сборок оформляются без труда. Вот здесь можно посмотреть видеоролик - http://electronix.ru/redirect.php?http://www.orcada.ru/downloads/ODE/Assembly_Variants.avi

Автор: shf_05 Oct 13 2015, 07:32

здравствуйте!
Подскажите, пожалуйста, возможную причину ошибки - Orcad capture не запускется из проводника при попытке открыть opj файл. - ошибка c000003b.
если запустить capture из пуска, а потом перетащить в нее файл, то открывается без проблем.
orcad - лицензия.
ОС - Win7

 

Автор: Anatoly Sergeev Oct 13 2015, 15:06

Здравствуйте!

Откройте панель Пуск - Программы по умолчанию - Сопоставление типов файлов. Найдите в списке расширение OPJ и нажмите на кнопку Изменить программу, укажите Capture.exe из папки C:\Cadence\SPB16_6\tools\capture\capture.exe.

Есть еще один способ. Откройте Cadence Switch Release и нажмите на кнопку File Association. Если ничего не указано для OPJ, то в строке File Extension укажите OPJ, а в строке Default Program укажите путь к Capture.exe.

Автор: shf_05 Oct 14 2015, 04:24

конечно, ассоциация с файлом есть, ведь оркад пытается запуститься. какое-то время назад все работало, потом обновилось стороннее ПО, или оркад, или ОС или что-то еще, и перестало работать.

 

Автор: Zinka Oct 19 2015, 08:48

Я давно работаю на OrCAD 10.5, а сейчас вдруг проблема.
Есть односторонняя плата формате MAX, которую лень и некогда отправлять на фабрику, хочется просто засветить и вытравить. А потом просверлить.
А на слое Bottom не видны дырки.
Где поставить галку при преобразовании Run Post Processor, чтоб дырочки стали видны ?

И вообще - площадки маленькие.
В библиотеке Padstacks есть слой Plane.
Там кругляк - больше диаметром, чем Top/Bottom/SMTop/SMBot.
Но его нет в списке слоев, когда смотришь всю плату, а не библиотеку компонентов.
Хотя эти кружки видны.
А хочется приплюсовать его к слою Bottom.
А вот слой DRILL - наоборот, отминусовать.

Я помню, что такое существовало.
Помогите, добрые люди !




Автор: ОРКАДА Nov 6 2015, 07:26

Дело в том, что Ваша версия OrCAD уже давно снята с производства и от производителя по этому вопросу один ответ - сделайте обновление до более новой версии OrCAD 16.6-2015. Большинство проблем можно будет решить, если у Вас стоит официальная версия с последними исправлениями. Cadence также объявила, что доступ на сайт support.cadence.com теперь доступен пользователям OrCAD. Ранее доступ могли получить только пользователи Allegro. Это еще один аргумент в пользу перехода на новую версию.

Автор: URIK-ZZZ Nov 18 2015, 07:43

Здравствуйте!
Ответ на вопрос Zinka:
1. Из меню Options выберите Post Process Settings. Появится таблица Post Process.
2. Выберите слой (или слои), для которых Вы хотите изменить установки, и затем выберите Properties из выпадающего меню. Появится диалог Post Process Settings.
3. Поставить галку Keep Drill Holes Open.

Теперь мой вопрос:
А как сделать тоже самое в Allegro PCB?
Имеется в виду, получить Гербер с точками в центрах контактрных площадок, по которым удобно сверлить в ручную.

Автор: Карлсон Nov 18 2015, 08:37

Цитата(URIK-ZZZ @ Nov 18 2015, 10:43) *
Теперь мой вопрос:
А как сделать тоже самое в Allegro PCB?
Имеется в виду, получить Гербер с точками в центрах контактрных площадок, по которым удобно сверлить в ручную.

http://electronix.ru/forum/index.php?showtopic=88795&view=findpost&p=1368975

Автор: URIK-ZZZ Nov 18 2015, 20:45

То есть оффициально никак - жаль.
Извиняюсь, если сморозил глупость, я только начал осваивать Allegro.
А может быть можно нарисовать эти точки в допустим в виде шейпов при рисовании компонента, а не на падстеке, и потом как-нибудь в гербер?

Автор: Карлсон Nov 19 2015, 09:40

Цитата(URIK-ZZZ @ Nov 18 2015, 23:45) *
То есть оффициально никак - жаль.
Извиняюсь, если сморозил глупость, я только начал осваивать Allegro.
А может быть можно нарисовать эти точки в допустим в виде шейпов при рисовании компонента, а не на падстеке, и потом как-нибудь в гербер?


Если я правильно понимаю идеологию аллегро, то то, что Вы предлагаете - в корне не верно.
Гербер на то и гербер, чтобы там были слои.
Неужели так сложно сделать слои и сверловку, а потом в каком-нибудь cam-редакторе вывести на печать как надо?
Зачем такие извращения? Ради ускорения процесса?

Автор: Uree Nov 19 2015, 09:56

Если говорить о "зачем", то я изначально не понимаю, зачем использовать аллегро для плат, которые потом "сверлить вручную". Как-то у меня одно с другим слабо стыкуется...
Да, знаю, недвано уже это обсуждалось, но непонимание остается.

Автор: krux Nov 19 2015, 10:38

для лазерно-утюговой технологии:
включить нужные слои, распечатать plot сначала в черно-белый pdf для проверки, подобрать цвета в color view, - и потом на принтер.
делать герберы для ЛУТ - это лишнее.

Автор: URIK-ZZZ Nov 19 2015, 21:31

Цитата(Карлсон @ Nov 19 2015, 12:40) *
Если я правильно понимаю идеологию аллегро, то то, что Вы предлагаете - в корне не верно.
Гербер на то и гербер, чтобы там были слои.
Неужели так сложно сделать слои и сверловку, а потом в каком-нибудь cam-редакторе вывести на печать как надо?
Зачем такие извращения? Ради ускорения процесса?

Я не профессионал в разводке плат.
Для себя платы делал следующим образом:
Разводил в layout, делал гербер, где все слои в одном файле с открытыми центрами.
Открывал в GerbTool и из него печатал только TOP отраженный и BOTTOM прямо. Остальные слои мне были не нужны,
по этому мой опыт работы с гебером никакой, наверно придётся осваивать, но после того как немного освоюсь с Allegro.
Цитата(Uree @ Nov 19 2015, 12:56)
Если говорить о "зачем", то я изначально не понимаю, зачем использовать аллегро для плат, которые потом "сверлить вручную". Как-то у меня одно с другим слабо стыкуется...
Да, знаю, недвано уже это обсуждалось, но непонимание остается.

Ещё раз я не профессионал в разводке плат считайте, что для меня allegro просто игрушка. Пока делаю одновременно в Layout и Allegro - сравниваю, в allegro пока дольше, но красивее и вообще приятней. Узнал много нового.
До этого несколько раз начинал осваивать allegro, ещё с 15.7 версии, но бросал, не хватало времени и наверное терпения. В этот раз стало что-то получаться, но вот упёрся в герберы.
Цитата(krux @ Nov 19 2015, 13:38)
для лазерно-утюговой технологии:
включить нужные слои, распечатать plot сначала в черно-белый pdf для проверки, подобрать цвета в color view, - и потом на принтер.
делать герберы для ЛУТ - это лишнее.

Лут не использую. Мне повезло разжиться плёночным фоторезистом давно просроченный, но 0.3-0.4мм дорожки получаются . По этому с герберов печатаю негативы на плёнке, фоторезист на медь, сверху негатив ну и соответственно затем засвечиваюю. Далее химия по очереди - двууглекислый натрий, хлорное железо, щёлочь натривая или калливая, какая у химиков есть, всё равно, и затем свелить по протравленным точкам.

Автор: KAlexn Nov 23 2015, 08:49

В других программах не встречал. При разводке платы часто приходится что то удалять. А когда делаешь это быстро, то не всегда сразу замечаешь ,что удалилось что то не то. Почему то в футпринтах может удалится шелкография или линии прорисовки самого компонента.

 

Автор: ОРКАДА Nov 23 2015, 11:37

Если что-то случайно удалилось, то Вы можете использовать команду Place - Update Symbols. Еще быстрее это сделать можно следующим образом - выберите символы в режиме Placementedit и из меню правой кнопки мыши выберите Refresh Symbol Instance.

Автор: KAlexn Nov 23 2015, 12:20

Цитата(ОРКАДА @ Nov 23 2015, 14:37) *
Если что-то случайно удалилось, то Вы можете использовать команду Place - Update Symbols. Еще быстрее это сделать можно следующим образом - выберите символы в режиме Placementedit и из меню правой кнопки мыши выберите Refresh Symbol Instance.

Это понятно, но вот зачем библиотечные компоненты портить. Нарисовал в библиотеке, там и правь.

Автор: PCBtech Nov 23 2015, 12:47

Цитата(KAlexn @ Nov 23 2015, 15:20) *
Это понятно, но вот зачем библиотечные компоненты портить. Нарисовал в библиотеке, там и правь.


Бывает нужно такое - подрезать в разных местах маркировку или площадку компонента.
Если хотите от этого застраховаться - сделайте Fix всем объектам компонентов.

Автор: Карлсон Nov 23 2015, 17:40

Цитата(PCBtech @ Nov 23 2015, 15:47) *
или площадку компонента.

Имеете в виду править конкретную площадку в редакторе Padstack или теперь можно как-то прямо в плате менять шейп площадки?

Автор: PCBtech Nov 23 2015, 18:41

Цитата(Карлсон @ Nov 23 2015, 20:40) *
Имеете в виду править конкретную площадку в редакторе Padstack или теперь можно как-то прямо в плате менять шейп площадки?


В Allegro можно, конечно:
Tools - Pad - Bondary:





Автор: Uree Nov 23 2015, 19:48

Цитата(KAlexn @ Nov 23 2015, 13:20) *
Это понятно, но вот зачем библиотечные компоненты портить. Нарисовал в библиотеке, там и правь.


Можно, но долго и неудобно.
Проконтролируйте какие объекты на панели Find доступны к выбору при операции удаления. Если хотите "чистить" только трассировку - оставьте птицы на CLine, VIA, CLine Segment, тогда остальные линии не будут выбираться и удаляться.

Автор: ОРКАДА Nov 26 2015, 05:10

Это, кстати говоря, уже далеко не единственный вариант, если есть OrCAD PCB Productivity Toolbox. Вот здесь можете посмотреть http://electronix.ru/redirect.php?http://www.orcada.ru/downloads/OrCAD_Toolbox/OrCAD%20PCB%20Productivity%20Toolbox_RUS.pdf. А суть заключается в том, что при наличии этой надстройки во время проектирования платы можно переключиться в режим редактирования любого посадочного места и вернуться обратно на плату с обновлением. Заходите в меню Tools - Quick Symbol Edit. Далее выбираете прямо на плате посадочное место и выбираете режим Edit Same Session. После редактирования выбираете File - Quick Symbol Edit - Return To Layout. И все получается быстро и без проблем.

http://electronix.ru/redirect.php?http://postimage.org/

Автор: KAlexn Nov 26 2015, 07:19

Вывод перечней и спецификаций как то не очень весело.
В РСАD были сторонние программки, которые позволяли все это делать без особого напряжения.
Может кто сподобился и что то аналогичное придумал?

Автор: Uree Nov 26 2015, 08:57

А чем не устраивает вывод .csv с последующим импортом в любой excel-шаблон? Тем более оно полностью настраиваемый - что хотите, то в него и включаете, главное чтобы оно в плате было(со схемой проще, там точно есть всё). Или хочется генерить три перечня в час и полностью автоматом? Все равно ведь стоит проверить, любой софт может ошибиться...

Автор: KAlexn Nov 26 2015, 10:12

Цитата(Uree @ Nov 26 2015, 11:57) *
Или хочется генерить три перечня в час и полностью автоматом?

Ну вот например программка "Генератор перечней"(правда она сейчас стала платной, но я пользовался еще бесплатной версией) позволяла быстро все это решать как со спецификацией, так и с перечнем.

 

Автор: Uree Nov 26 2015, 10:47

Это понятно, всегда найдется решение, которое будет быстрее. Я просто к тому, что это не невозможно, а менее удобно и дольше, но можно сделать.

Автор: KAlexn Dec 2 2015, 08:22

очередная засада или что бы это значило.
Второй мой проект и тут такое обнаруживается.
Из старого проекта (Orcad) перевел микросхему в корпусе lqfp64_10x10, получил: lqfp64_10x10.psm, LQFP64_10x10.dra ну и соответствующие площадки.
Развел плату, ее изготовили и тут засада - ножки в одном месте оказались перепутаны. Как такое могло произойти? библиотечный компонент показывает что все нормально, ничего не перепутано, а на плате вы увидите из рисунков

 

Автор: Uree Dec 2 2015, 08:54

Попробуйте обновить футпринт из библиотеки через Place -> Update Symbol... Должно поменять пады согласно библиотечному определению.
А вообще такое можно сделать, но только вручную: можно передвинуть пады внутри футпринта, можно изменить их номера(тут не уверен, но кажется так). Но такие вещи не делаются сами по себе, нужно хотеть и знать как такое сделать. Так что даже не представляю, чтобы это само собой произошло...

Автор: KAlexn Dec 2 2015, 11:01

Цитата(Uree @ Dec 2 2015, 11:54) *
Попробуйте обновить футпринт из библиотеки через Place -> Update Symbol... Должно поменять пады согласно библиотечному определению.
А вообще такое можно сделать, но только вручную: можно передвинуть пады внутри футпринта, можно изменить их номера(тут не уверен, но кажется так). Но такие вещи не делаются сами по себе, нужно хотеть и знать как такое сделать. Так что даже не представляю, чтобы это само собой произошло...

Cамое паршивое, что в другом проекте, тот же облом, так же перепутаны ножки в том же корпусе. Но в производство плата еще не отправлена. Еще обнаружилось, что при переконвертации из старого оркада ножки у одного разъема оказались зеркально отраженными. доверяй но проверяй. Вот такая засада.
При попытке Place -> Update Symbol... выдает:
'LQFP64_10X10' symbol starting to refresh:
ERROR(SPMHNI-254): Unable to load symbol, 'LQFP64_10X10': 'ERROR(SPMHDB-272): Property is only allowed on PACKAGE KEEPOUT or ~
PLACE BOUND.'.

Автор: Uree Dec 2 2015, 11:43

Явно что-то с ним не так.
При конвертации только футпринта такое происходит, или проекта, в котором такой футпринт использован?

Автор: KAlexn Dec 2 2015, 12:03

Цитата(Uree @ Dec 2 2015, 14:43) *
Явно что-то с ним не так.
При конвертации только футпринта такое происходит, или проекта, в котором такой футпринт использован?

Я еще раньше протранслировал библиотеки Layout в PCB Editor, частично их проверил, а частично поверил на слово.
И вот результат.
С микросхемой справился, но не понял как. В библиотеке все ножки проверил поименно, ошибок не обнаружил, сохранил и о чудо, после
Update все стало на свои места. Чужие библиотеки быстро, но зато свои надежней.

Автор: Uree Dec 2 2015, 12:23

Похоже на то, что в исходном проекте в футпринте был сделан свап, именно на уровне РСВ, а не библиотеки. Поэтому в самом футпринте ошибок нет, а на плате есть. Но это так, предположение.

Автор: qhr Dec 2 2015, 21:21

Цитата(Anatoly Sergeev @ Sep 21 2015, 13:17) *
Под механические компоненты лучше создавать отдельную таблицу в БД. Соответственно атрибуты Part Number, Part Type, MechPartID, MechPartQuantity могут быть указаны для каждого такого компонента в таблице.

Примеры и правила включения механических компонентов в БД Вы найдете в прилагаемых документах.


Есть некоторая проблема в том, что используется CIP для взаимодействия с БД, которая в свою очередь поднята на SQl. Вносить дополнительные таблицы в данноу БД может быть чревато при обновлении того же CIP. Или я не прав?
В Ваших документах приводится описание добавления таблицы в БД Access.

Автор: KAlexn Dec 4 2015, 10:13

Пару простых вопросов:
на картинке зазоры разные, хотя вроде везде выставил зазор 0.3 мм
как убрать названия цепи на дорожках на плате, иногда вот нужно.

 

Автор: Uree Dec 4 2015, 10:57

Зазоры делятся на категории: между разными цепями - раздел Spacing, и между элементами одной цепи - Same Net Spacing. Верхний пад попадает во второй раздел, проверьте установленные в нем параметры, "на глаз" похоже на дефолтовые 0.127мм.
Где-то в настройках должно быть включение-выключение видимости названий. Надо искать, по памяти не скажу.

Автор: KAlexn Dec 7 2015, 08:43

Цитата(Uree @ Dec 4 2015, 13:57) *
Зазоры делятся на категории
Где-то в настройках должно быть включение-выключение видимости названий.

С зазорами разобрался.
С включением видимости пока нет.
Еще вопросик: полигоны прорисовываются линиями определенной ширины, которую мы и задаем.
Я вроде это сделал, но как то странно полигоны прорисованы у меня, вроде есть скругления в полигоне, но и прямые углы, без скругления остались, как на картинке.

 

Автор: neznaikin Dec 7 2015, 14:40

Отключить видимость цепей можно в настройках:
Setup - Design Parameter, в окне Design Parameter Editor, вкладка Display, строка Display net names - можно включить и выключить названия цепей у Clines, Shapes, Pins.

Автор: Anatoly Sergeev Dec 8 2015, 08:17

Цитата(qhr @ Dec 3 2015, 01:21) *
Есть некоторая проблема в том, что используется CIP для взаимодействия с БД, которая в свою очередь поднята на SQl. Вносить дополнительные таблицы в данноу БД может быть чревато при обновлении того же CIP. Или я не прав?
В Ваших документах приводится описание добавления таблицы в БД Access.


Вопрос будет решен в обновлениях для CIP в следующем году. Сейчас пока Вы можете использовать дополнительные атрибуты в существующей структуре БД для указания механических деталей крепления.

Автор: ОРКАДА Dec 8 2015, 11:08

Цитата(KAlexn @ Dec 7 2015, 12:43) *
С зазорами разобрался.
С включением видимости пока нет.
Еще вопросик: полигоны прорисовываются линиями определенной ширины, которую мы и задаем.
Я вроде это сделал, но как то странно полигоны прорисованы у меня, вроде есть скругления в полигоне, но и прямые углы, без скругления остались, как на картинке.


Есть такой класс Boundary. Отключите его слои и прямых углов не останется.

Автор: KAlexn Dec 9 2015, 05:44

Цитата(ОРКАДА @ Dec 8 2015, 14:08) *
Есть такой класс Boundary. Отключите его слои и прямых углов не останется.

Ничего не изменилось.

Автор: ОРКАДА Dec 9 2015, 08:14

Цитата(KAlexn @ Dec 9 2015, 09:44) *
Ничего не изменилось.


Там еще у Вас статические полигоны вроде виднеются. Может быть это их контур Вам мешает?

Автор: KAlexn Dec 9 2015, 08:44

Цитата(ОРКАДА @ Dec 9 2015, 11:14) *
Там еще у Вас статические полигоны вроде виднеются. Может быть это их контур Вам мешает?

До статических полигонов я еще не дошел.
Все в процессе.
Еще вопросик - как соединить металлизированное механическое (крепежное) отв. с полигоном.

Автор: Uree Dec 9 2015, 08:47

Добавьте отверстию атрибут NETSHORT с указанием цепи к которой нужно подключиться.

Автор: KAlexn Dec 9 2015, 09:12

Цитата(Uree @ Dec 9 2015, 11:47) *
Добавьте отверстию атрибут NETSHORT с указанием цепи к которой нужно подключиться.

Получилось, спасиб

Автор: qhr Dec 9 2015, 16:55

Цитата(Anatoly Sergeev @ Dec 8 2015, 11:17) *
Вопрос будет решен в обновлениях для CIP в следующем году. Сейчас пока Вы можете использовать дополнительные атрибуты в существующей структуре БД для указания механических деталей крепления.


Анатолий, разве нельзя просто прописать свойство MECHANICAL в поле CLASS, создать мех. посадочное место? Хотя не будет связи с самим компонентом к которому относится эта механика... Тогда да, в доп. полях прописывать ссылки.

Автор: KAlexn Dec 10 2015, 14:22

Конструктора обычно передают мне DXF файл и по нему развожу плату (расположение крепежных отв. и другое).
Поступили новые вводные и нужно сдвинуть этот рисунок. Я ввел новый слой dxf и теперь хочу выделив только этот слой передвинуть его на 2 мм. Но что то не получается. Как выделить только этот слой и передвинуть его, не затрагивая всего остального?

Автор: qhr Dec 10 2015, 18:24

Цитата(KAlexn @ Dec 10 2015, 17:22) *
Конструктора обычно передают мне DXF файл и по нему развожу плату (расположение крепежных отв. и другое).
Поступили новые вводные и нужно сдвинуть этот рисунок. Я ввел новый слой dxf и теперь хочу выделив только этот слой передвинуть его на 2 мм. Но что то не получается. Как выделить только этот слой и передвинуть его, не затрагивая всего остального?

Сделайте видимым только этот слой, выделите все, через командную строку с помощью команды ix [значение] iy [значение] сдвиньте в необходимом направлении. Например, ix -2 iy 0

Автор: KAlexn Dec 11 2015, 05:41

Цитата(qhr @ Dec 10 2015, 21:24) *
Сделайте видимым только этот слой

Так то я сделал, только это не близкий путь. Я пытался через фильтры, иначе для чего они.

Автор: KAlexn Dec 17 2015, 08:46

Что то я не могу передать нашим конструкторам даже тот примитивный 3-D вид который выдает OrCAD PCB Editor в виде квадратиков.
А работают они в автокаде. Можно ли это сделать? DXF без проблем.

Автор: Uree Dec 17 2015, 08:57

А что его там передавать? File-Export-STEP, там и опций других-то нет.

Автор: KAlexn Dec 17 2015, 11:18

Цитата(Uree @ Dec 17 2015, 11:57) *
А что его там передавать? File-Export-STEP, там и опций других-то нет.

Наши конструктора STEP не знают. Может есть конвертер в DWG?

Автор: Uree Dec 17 2015, 11:52

DXF есть конечно, как импорт так и экспорт. DWG насколько помню нет, хотя тут могу и ошибаться.

Автор: quarter Dec 17 2015, 12:16

Цитата(KAlexn @ Dec 17 2015, 11:46) *
А работают они в автокаде. Можно ли это сделать?

из PCB сделайте экспорт в IDF (.emn + .emp файлы)
Потом импорт в автокад. Правда нужен Inventor Pro или Routed Systems.

Автор: KAlexn Dec 17 2015, 14:22

Цитата(quarter @ Dec 17 2015, 15:16) *
Правда нужен Inventor Pro или Routed Systems.

Нашел программку для конвертации ABViewer 11, жалко что требуют денег. А так на 45 дней.

Автор: Uree Dec 17 2015, 15:15

Конвертации чего во что? И зачем, если DXF можно сгенерить(100%) и он является родным для Автокада?

Автор: quarter Dec 17 2015, 17:53

Цитата(KAlexn @ Dec 17 2015, 17:22) *
Нашел программку для конвертации ABViewer 11, жалко что требуют денег. А так на 45 дней.

могу тогда посоветовать solidworks (и его встроенный инструмент circuitworks) для конвертации применить. Раз уж у вас лицензия на автокад обрезанная.

Автор: KAlexn Dec 18 2015, 06:18

Цитата(Uree @ Dec 17 2015, 18:15) *
И зачем, если DXF можно сгенерить(100%) и он является родным для Автокада?

DXF уже работает в 3D? Пойду конструкторов трясти.

Цитата(quarter @ Dec 17 2015, 20:53) *
у вас лицензия на автокад обрезанная.

Т.е в какой то лицензии есть возможность чтения файлов STEP?

Автор: ОРКАДА Dec 21 2015, 08:01

В OrCAD поддерживается три основных формата передачи данных в MCAD - STEP, IDF и IDX. Лучше всего использовать IDX, если со стороны MCAD есть поддержка этого формата. Например, в Circuit Works есть. STEP известный формат, но он не содержит истории и не предполагает внесения изменений последовательно, IDF самый распространенный формат, но он передает все или ничего, IDX содержит историю, имеет возможность предварительного просмотра, позволяет вносить комментарии, принимать или отклонять изменения. DXF формат содержит только двумерный рисунок.

Автор: awerqo Jan 4 2016, 08:36

Добрый день! Не подскажете как решается данная проблема? http://electronix.ru/forum/index.php?showtopic=132765
Заранее спасибо!

Автор: ОРКАДА Jan 14 2016, 12:48

Здравствуйте!

Данная проблема будет решена в ближайшем будущем. На сегодняшний день Cadence прекратил поддержку портала Active Parts. Вы можете временно использовать ресурс www.snapeda.com или любой другой для скачивания готовых символов для схемы и платы.

Автор: KAlexn Jan 22 2016, 07:34

Платы развожу на этой программе недавно. Но уже в чем то разобрался, в чем то нет. но процесс идет.
Тут попросили поработать с чужой платой и конечно все установки другие. Вопрос: как перенести установки со своих проектов на чужую плату. Ну там цвета слоев, текстовые блоки, вот например нужно выводить гербера, а в этом проекте еще ничего нет.

Автор: Uree Jan 22 2016, 08:38

File -> Export -> Parameters
Там насколько помнится будет окошко со списком разделов параметров, которые можно экспортировать. Выбирайте нужные и вперед. Потом экспортированные параметры можно втянуть в другой проект через File -> Import -> Parameters.

Автор: ОРКАДА Jan 27 2016, 09:33

Представляем транслятор данных Altium Designer в формат OrCAD/Allegro

Специалисты компании «Оркада» представляют очередное обновление для продуктов линейки OrCAD и Allegro 16.6-2015 – Hotfix 63, содержащее транслятор данных для проектов Altium Designer.

Благодаря новому транслятору пользователи смогут быстро и качественно перенести свои проекты в OrCAD или Allegro. Поддерживается три направления трансляции PcbDoc ASCII (Altium) в Brd (OrCAD/Allegro PCB Editor), SchDoc ASCII в Design Entry HDL и SchDoc ASCII в OrCAD Capture.

В настоящее время транслятор Altium – OrCAD доступен всем пользователям с действующей технической поддержкой. Обновление Hotfix 63 для версии OrCAD/Allegro 16.6-2015 содержит все предыдущие обновления и может быть установлено поверх базовой версии 16.6.

Компания Cadence выпускает обновления два раза в месяц. Раз в квартал выходят глобальные обновления, которые добавляют новые возможности во все модули OrCAD/Allegro.

В июне 2015 года вышло обновление 16.6-2015 (Hotfix 51), которое содержит в себе большой набор новых функций. Благодаря этому OrCAD получил практически все базовые функции Allegro.

Вебинар и презентацию о новых возможностях OrCAD PCB Designer 16.6-2015 можно посмотреть http://electronix.ru/redirect.php?http://www.orcada.ru/library/webinars/webinars_4.html.

В базовой версии Allegro помимо абсолютно новых появились функции, которые ранее входили в дополнительные опции. Вебинар и презентацию о новых возможностях Allegro PCB Designer 16.6-2015 можно посмотреть http://electronix.ru/redirect.php?http://www.orcada.ru/library/webinars/webinars_5.html.

В настоящий момент компания «Оркада» подготовила к выходу транслятор библиотек P-CAD в OrCAD. Не секрет, что библиотеки P-CAD, имеющиеся на предприятии, могут содержать особенности, которые недопустимы или могут привести к ошибкам в OrCAD.

Данный транслятор разрабатывался с учетом всех различий двух платформ. Он позволяет корректировать символы и посадочные места в пакетном режиме во время трансляции с устранением распространенных ошибок – наложение линий на выводы, некорректный шаг между выводами, несоответствие ширины и высоты символа и т.д.. Демонстрация работы транслятора пройдет на ближайших семинарах и вебинарах.

Дополнительную информацию Вы можете получить у специалистов ООО «Оркада» по телефонам: +7(499) 136-3213, +7(495) 943-5032, а также по электронной почте по адресу: info@orcada.ru.

Автор: Andrew29 Jan 30 2016, 06:52

Здравствуйте,

Начал изучать оркад, вроде более менее удалось разобраться но подскажите пожалуйста как правильно сделать- хочу из схемы в .dsn вытащить несколько УГО и отредактировать.

Автор: PCBtech Jan 30 2016, 21:41

Цитата(Andrew29 @ Jan 30 2016, 09:52) *
Здравствуйте,

Начал изучать оркад, вроде более менее удалось разобраться но подскажите пожалуйста как правильно сделать- хочу из схемы в .dsn вытащить несколько УГО и отредактировать.


Правой кнопкой мыши нажать на компонент и выбрать в выпадающем меню Edit Part.
Отредактировать компонент и сохранить, выбрать Replace All.

Если же хочется вытащить его в библиотеку, то надо подключить к проекту вашу библиотеку,
потом зайти в иерархии проекта в фолдер Design Cache,
найти в списке имя вашего компонента, правой кнопкой - Copy,
затем выбрать в иерархии вашу библиотеку и правой кнопкой - Paste.




Автор: vitan Feb 1 2016, 19:40

Цитата(ОРКАДА @ Jan 27 2016, 12:33) *
Специалисты компании «Оркада» представляют очередное обновление для продуктов линейки OrCAD и Allegro 16.6-2015 – Hotfix 63, содержащее транслятор данных для проектов Altium Designer.

Как его запустить?

Автор: ОРКАДА Feb 2 2016, 00:01

Здравствуйте!

Переходите в меню File - Import - Cad Translators - Altium PCB/Altium Schematic to DE HDL

Для Capture необходимо в командной строке ввести

package require altium2cap
::altium2cap::main

Перед трансляцией схему и плату Altium необходимо перевести в формат ASCII

Автор: Andrew29 Feb 2 2016, 20:42

Цитата(PCBtech @ Jan 30 2016, 22:41) *
Правой кнопкой мыши нажать на компонент и выбрать в выпадающем меню Edit Part.
Отредактировать компонент и сохранить, выбрать Replace All.

Если же хочется вытащить его в библиотеку, то надо подключить к проекту вашу библиотеку,
потом зайти в иерархии проекта в фолдер Design Cache,
найти в списке имя вашего компонента, правой кнопкой - Copy,
затем выбрать в иерархии вашу библиотеку и правой кнопкой - Paste.






Большое Вам спасибо! Смог разобраться наконец- а можно ли еще уточнить один момент: какой слой/класс в оркаде отвечает за component courtyard?

Автор: Bear_ku Feb 15 2016, 09:47

Добрый день. У меня несколько вопросов по Documentation Editor (DE):
1. Можно ли импортировать слой сборки, подготовленный в PCB Editor?
На платах часто имеется до нескольких десятков однотипных участков. В самом PCB Editor подготовить СБ в этом случае, довольно просто, за счет модулей. Но вот как в этом случае можно поступить в DE?
2. Можно ли импортировать дополнительные слои или информацию?
Имеющийся на плате текст, например с названием/кодом платы, дополнительные надписи.
3. Можно ли в DE отредактировать чертеж конкретного элемента, группы однотипных элементов?
При плотном монтаже, бывает удобно перетащить "+" у элемента в другое место, сдвинуть границы, обрезать или убрать линии и т.п.
4. Можно ли привязать Assembly Notes к нижней границе, чтобы добавляя новый пункт список рос вверх?
Это просто для удобства заполнения ТТ.

Автор: vitan Feb 16 2016, 19:35

Цитата(Bear_ku @ Feb 15 2016, 12:47) *
Добрый день. У меня несколько вопросов по Documentation Editor (DE):

1-3: да.

Автор: bamgran Feb 16 2016, 22:56

Добрый день.
Скажите, а в какой кодировке OrCad читает скрипты .tcl?
Т.е. хотелось бы, что бы при его работе выводились русские буквы, а как не пробую прописать, получается абракадабра.

Автор: vitan Feb 17 2016, 21:00

Цитата *
Добрый день. У меня несколько вопросов по Documentation Editor (DE):
1. Можно ли импортировать слой сборки, подготовленный в PCB Editor?
На платах часто имеется до нескольких десятков однотипных участков. В самом PCB Editor подготовить СБ в этом случае, довольно просто, за счет модулей. Но вот как в этом случае можно поступить в DE?
2. Можно ли импортировать дополнительные слои или информацию?
Имеющийся на плате текст, например с названием/кодом платы, дополнительные надписи.
3. Можно ли в DE отредактировать чертеж конкретного элемента, группы однотипных элементов?
При плотном монтаже, бывает удобно перетащить "+" у элемента в другое место, сдвинуть границы, обрезать или убрать линии и т.п.
4. Можно ли привязать Assembly Notes к нижней границе, чтобы добавляя новый пункт список рос вверх?
Это просто для удобства заполнения ТТ.

1. Сабж это версия downstream blueprint, рекомендую сразу юзать последний, чтобы не изучать, чего там урезано. Импортируете ipc2581 и сразу видите слой assembly.
2. Текст надо включить при создании ipc2581. Там есть внизу галочка. Доп. Слои импортируются через dxf и pdf, но если это слои из платы, то их проще засунуть туда же в 2581 при экспорте.
3. Про перетаскивание плюсиков уже подзабыл, надо смотреть. Можно независимо рулить свойствами и видом компонентов.

Автор: Bear_ku Feb 18 2016, 05:32

Благодарю за ответ.
1. Пользуюсь теми программами, которые были приобретены для работы, blueprint-pcb в их список не входит.
2. Перекинуть нужные слои получилось. Но вот перекинуть расставленные поз.обозначения так и не получилось. Вернее перекинуть так, чтобы из можно было потаскать еще и в DE.
3. Управлять внешним видом элемента получилось только на уровне вкл./выкл. определенных свойств. А вот изменить графику так и не получается.

Автор: vitan Feb 18 2016, 17:30

Цитата(Bear_ku @ Feb 18 2016, 08:32) *
Благодарю за ответ.
1. Пользуюсь теми программами, которые были приобретены для работы, blueprint-pcb в их список не входит.
2. Перекинуть нужные слои получилось. Но вот перекинуть расставленные поз.обозначения так и не получилось. Вернее перекинуть так, чтобы из можно было потаскать еще и в DE.
3. Управлять внешним видом элемента получилось только на уровне вкл./выкл. определенных свойств. А вот изменить графику так и не получается.

1. Неправильный подход. Одно другому не мешает. Суть пункта не понятна. Какое отношение имеют модули к виду СБ?
2. В том и дело, что расставленные рефдесы перекидывать не надо, система рассчитана на генерацию своих рефдесов. Но оригинальные тоже можно увидеть. Как - см. спец. раздел в хелпе.
3. Как подвинуть плюсик не нашёл. Да и не вижу надобности. Чтобы и у Вас не её было, рекомендую рисовать компоненты так, чтобы ключи для них были бы внутри контура assembly или хотя бы внутри контура place bound. Можно также пользоваться фишкой показа первого пина. Графика компонента при импорте из IPC-2581 берётся из слоя assembly, а при импорте из ODB++ - из place bound-a, это тоже можно использовать.

Автор: KAlexn Feb 20 2016, 07:39

в OrCad Layout Plus есть такая замечательная кнопочка Refresh All.
А есть что-то аналогичное в Orcad PCB Designer?

Автор: Uree Feb 21 2016, 10:01

А что эта кнопочка делает?

Автор: KAlexn Feb 24 2016, 05:49

Цитата(Uree @ Feb 21 2016, 13:01) *
А что эта кнопочка делает?

По крайней мере мне нравилось, как происходила перерисовка полигонов после редактирования.

Автор: Uree Feb 24 2016, 08:40

Если режим шейпов установлен в Smooth и имеются шейпы, которые нужно обновить, то это видно в окошке Status(кажется меню View -> Status), и там же рядом кнопка Update, которая обновит все неактуальные динамические шейпы.
А здесь перерисовка фактически незаметна вообще, если держать шейпы автообновляемыми и редактировать плату.

Автор: KAlexn Feb 24 2016, 11:39

Цитата(Uree @ Feb 24 2016, 11:40) *
Если режим шейпов установлен в Smooth и имеются шейпы, которые нужно обновить, то это видно в окошке Status(кажется меню View -> Status), и там же рядом кнопка Update, которая обновит все неактуальные динамические шейпы.
А здесь перерисовка фактически незаметна вообще, если держать шейпы автообновляемыми и редактировать плату.

При редактировании так и происходит, тут вопросов нет.
Но когда нужно поменять в полигоне зазоры через Constaints. то потом чтобы привести полигон к удобоваримому виду, нужно войти в Shape -> Global Dinamic Shape Par -> Force Update. Не быстро, хотя и не часто такое бывает.

Автор: Uree Feb 24 2016, 11:53

По разному можно делать. Я написал как мне удобно. Собственно удобно пользоваться статусом потому, что там не только шейпы, а все текущее состояние проекта, с шейпами, размещением, трассировкой и ошибками.
И если изменить параметры чего-либо через Constraints и потом открыть статус, то будет видно, что шейпы Out of Date и будет кнопка их обновить.

Автор: PCBtech Feb 24 2016, 19:59

Цитата(KAlexn @ Feb 24 2016, 14:39) *
При редактировании так и происходит, тут вопросов нет.
Но когда нужно поменять в полигоне зазоры через Constaints. то потом чтобы привести полигон к удобоваримому виду, нужно войти в Shape -> Global Dinamic Shape Par -> Force Update. Не быстро, хотя и не часто такое бывает.


Назначьте горячую кнопку или макрокоманду. Можно даже команду в меню сделать.

Автор: KAlexn Feb 25 2016, 05:45

Цитата(PCBtech @ Feb 24 2016, 22:59) *
Назначьте горячую кнопку или макрокоманду. Можно даже команду в меню сделать.

О, я еще не такой продвинутый пользователь. тут бы разобраться в том что есть.

Автор: Inpharhus Feb 26 2016, 12:11

Начал изучать OrCAD Capture, использую версию SPB 16.6.
Возникло несколько вопросов:
1. Создал библиотеку, рисую символ, при попытке скопировать какой-либо элемент в буфер вылетает ошибка ERROR(ORCAP-5030): Copy data to the clipboard failed. В чём может быть проблема?
2. Каким образом в библиотеке создавать компоненты похожие на уже существующие, но, например, с другим футпринтом? Если скопировать компонент в буфер и попытаться вставить в эту же библиотеку, то предлагается только заменить существующий или отменить вставку, но варианта вставить с новым именем нет. Неужели такой финт можно делать только использую вторую библиотеку в которую вставлять компонент, там переименовывать, менять футпринт и копировать обратно в первую библиотеку?

Автор: PCBtech Feb 26 2016, 12:36

Цитата(Inpharhus @ Feb 26 2016, 15:11) *
Начал изучать OrCAD Capture, использую версию SPB 16.6.
Возникло несколько вопросов:
1. Создал библиотеку, рисую символ, при попытке скопировать какой-либо элемент в буфер вылетает ошибка ERROR(ORCAP-5030): Copy data to the clipboard failed. В чём может быть проблема?
2. Каким образом в библиотеке создавать компоненты похожие на уже существующие, но, например, с другим футпринтом? Если скопировать компонент в буфер и попытаться вставить в эту же библиотеку, то предлагается только заменить существующий или отменить вставку, но варианта вставить с новым именем нет. Неужели такой финт можно делать только использую вторую библиотеку в которую вставлять компонент, там переименовывать, менять футпринт и копировать обратно в первую библиотеку?


Не очень понятно, в чем проблема.
Находясь в библиотеке, вы можете скопировать компонент (Copy), потом переименовать компонент (Rename), потом вставить компонент со старым именем (Paste).


Или вы хотите иметь команду, которая сразу создаст в библиотеке копию компонента с новым именем, за 1 клик?

Автор: Inpharhus Feb 26 2016, 13:04

Цитата(PCBtech @ Feb 26 2016, 15:36) *
Находясь в библиотеке, вы можете скопировать компонент (Copy), потом переименовать компонент (Rename), потом вставить компонент со старым именем (Paste).

Понятно, что-то не додумался sm.gif спасибо
Цитата(PCBtech @ Feb 26 2016, 15:36) *
Или вы хотите иметь команду, которая сразу создаст в библиотеке копию компонента с новым именем, за 1 клик?

Ну в менторе это происходит именно так...

Автор: PCBtech Feb 26 2016, 13:34

Цитата(Inpharhus @ Feb 26 2016, 16:04) *
Понятно, что-то не додумался sm.gif спасибо

Ну в менторе это происходит именно так...


Ну можно и по-другому поступить.
В библиотеке кликаете два раза на компонент.
Редактируете, вносите изменения в картинку, выводы итд.
Вызываете меню File-Save As
Сохраняете под новым именем.

Так лучше?


Цитата(bamgran @ Feb 17 2016, 01:56) *
Добрый день.
Скажите, а в какой кодировке OrCad читает скрипты .tcl?
Т.е. хотелось бы, что бы при его работе выводились русские буквы, а как не пробую прописать, получается абракадабра.


Надо файлы TCL редактировать в Notepad++ с кодировкой UTF-8
Пример скрипта с русскими буквами прилагаю, инструкция внутри.
Скрипт просто заносит текст "Привет" в название документа,
в штампе на странице (штамп предварительно надо выделить кликом мыши).

 Set1.rar ( 458 байт ) : 48


Напишите мне в личную почту - получилось или нет?

Автор: Inpharhus Feb 26 2016, 13:39

Цитата(PCBtech @ Feb 26 2016, 16:34) *
Ну можно и по-другому поступить.
В библиотеке кликаете два раза на компонент.
Редактируете, вносите изменения в картинку, выводы итд.
Вызываете меню File-Save As
Сохраняете под новым именем.

И так, и так нормально. Спасибо.
Просто отсутствие привычной вещи иногда вводит в ступор sm.gif

Автор: PCBtech Feb 26 2016, 13:48

Цитата(Inpharhus @ Feb 26 2016, 16:39) *
И так, и так нормально. Спасибо.
Просто отсутствие привычной вещи иногда вводит в ступор sm.gif


Согласен. Я послал запрос в поддержку, может, добавят, это в принципе несложно добавить.

Автор: Uree Feb 26 2016, 14:26

Александр, если Вы общаетесь с поддержкой может подкинете им мысль добавить хоть какую-нибудь полярную сетку? А то я тут делал пару итераций платы в форме бублика, так она с меня все соки выжала, с расстановкой блоков по окружности и трассировкой всего этого чуда впоследствии. Оказалось, что вообще ничего для этого не предусмотрено...

Автор: PCBtech Feb 26 2016, 14:58

Цитата(Uree @ Feb 26 2016, 17:26) *
Александр, если Вы общаетесь с поддержкой может подкинете им мысль добавить хоть какую-нибудь полярную сетку? А то я тут делал пару итераций платы в форме бублика, так она с меня все соки выжала, с расстановкой блоков по окружности и трассировкой всего этого чуда впоследствии. Оказалось, что вообще ничего для этого не предусмотрено...


Есть сторонняя утилита от FlowCAD для полярных координат в PCB-редакторе.
Надо посмотреть, может, она даже попала в опцию OrCAD Productivity ToolBox.

http://electronix.ru/redirect.php?http://www.pcbsoft.ru/#!orcad-pcb-productivity-toolbox/rpf56

Автор: Uree Feb 26 2016, 15:36

А что эта утилита умеет? Если только изобразить сетку, то этого мало, не помешала бы хотя бы привязка к узлам сетки, поворот на соответствующий угол и трассировка по дугам сетки была бы просто сказкой, но это уж ладно, переживем.

Автор: PCBtech Feb 26 2016, 21:19

Цитата(Uree @ Feb 26 2016, 18:36) *
А что эта утилита умеет? Если только изобразить сетку, то этого мало, не помешала бы хотя бы привязка к узлам сетки, поворот на соответствующий угол и трассировка по дугам сетки была бы просто сказкой, но это уж ладно, переживем.


По-моему, почти все это она умеет. Могу попробовать, только хотелось бы задачу поточнее описать.

Сама утилита описана в прилагаемом ниже файле, стр.109-113.
Можем организовать временный ключ, если надо.

 FloWare_Description.pdf ( 8.98 мегабайт ) : 249


Цитата(Uree @ Feb 26 2016, 18:36) *
А что эта утилита умеет? Если только изобразить сетку, то этого мало, не помешала бы хотя бы привязка к узлам сетки, поворот на соответствующий угол и трассировка по дугам сетки была бы просто сказкой, но это уж ладно, переживем.


Я попробовал поработать в полярных координатах. Неплохое решение, можно и размещать, и трассировать в привязке к полярной сетке,
и полигоны рисовать.
Вот результат "пробы пера".




Вот правильное описание.

 OrCAD_PCB_Productivity_Toolbox___Presentation.pdf ( 3.21 мегабайт ) : 102

Автор: Uree Feb 26 2016, 21:33

Именно то, чего не хватало. Нам рассказывали и даже показывали кое-что из этих утилит несколько лет назад, но до покупки не дошло.

Автор: PCBtech Feb 26 2016, 21:44

Цитата(Uree @ Feb 27 2016, 00:33) *
Именно то, чего не хватало. Нам рассказывали и даже показывали кое-что из этих утилит несколько лет назад, но до покупки не дошло.


Странно, что не смогли купить, стоимость опции всего 800 долларов в год, а там куча полезных функций, это бы окупилось с лихвой...

Автор: Uree Feb 27 2016, 09:34

Тогда решили, что не очень нужно, а позже было уже поздно.

Автор: KAlexn Mar 2 2016, 07:58

Куда то исчезла подсветка выделенных цепей или компонентов, т. е. выделяешь на схеме, а на плате цепь или компонент
обозначается, но без подсветки.

Автор: ОРКАДА Mar 2 2016, 08:55

Проверьте Options - Preferences - Miscellaneous опцию Enable Intertool Communication в Capture

Цитата(Uree @ Feb 27 2016, 13:34) *
Тогда решили, что не очень нужно, а позже было уже поздно.


Здравствуйте по OrCAD/Allegro PCB Productivity Toolbox посмотрите http://electronix.ru/redirect.php?http://www.orcada.ru/downloads/OrCAD_Toolbox/OrCAD%20PCB%20Productivity%20Toolbox_RUS.pdf, которую мы подготовили. В конце документа список возможностей для OrCAD и Allegro PPT.

Автор: KAlexn Mar 2 2016, 09:48

Цитата(ОРКАДА @ Mar 2 2016, 11:55) *
Проверьте Options - Preferences - Miscellaneous опцию Enable Intertool Communication в Capture

Это все включено, а на плате цепь или компонент
обозначается, но без подсветки
.

Автор: KAlexn Mar 16 2016, 10:37

Понадобилось плату немного переработать, а перед этим я удалил не подсоединенные участки полигона.
И вот теперь никак не могу убрать эти участки в полигоне. Не рисовать же по новой тот же полигон.
Может можно решить этот вопрос попроще?

 

Автор: Uree Mar 16 2016, 11:29

В меню Shape есть подменю Void, а в нем Delete. Точно не помню последовательность кликов, но там будет возможность удалить все войды выбранного полигона.

Автор: KAlexn Mar 16 2016, 12:10

Цитата(Uree @ Mar 16 2016, 14:29) *
В меню Shape есть подменю Void, а в нем Delete. Точно не помню последовательность кликов, но там будет возможность удалить все войды выбранного полигона.

Да сделал, но как то это не комильфо

Автор: Uree Mar 16 2016, 12:52

А что там не комильфо? После выбора соответствующей команды можно в один клик удалить все войды выбранного полигона - что еще надо? Или плохо, что они вообще там есть?

Автор: KAlexn Mar 16 2016, 14:29

Цитата(Uree @ Mar 16 2016, 15:52) *
А что там не комильфо? После выбора соответствующей команды можно в один клик удалить все войды выбранного полигона - что еще надо? Или плохо, что они вообще там есть?

Как то в один клик пока не получилось.

Автор: PCBtech Mar 16 2016, 18:15

Цитата(KAlexn @ Mar 16 2016, 17:29) *
Как то в один клик пока не получилось.


В два клика.
Раз:


Два:


Автор: KAlexn Mar 17 2016, 06:28

А вот еще вопросец - оформление сборочного чертежа?
Вот мой процесс:
Делаю гербер файлы по всем необходимым слоям.
Печатаю в формате ПДФ из САМ350. В САМ350 очень хорошо выставлять чередование слоев на картинке, масштабирование и размещение.
В формате ПДФ у меня есть готовые ГОСТовские рамки.
С помощью программы Infix PDF Editor Pro формирую сборочный чертеж.
Вот как то так.

Автор: vitan Mar 17 2016, 06:38

Цитата(KAlexn @ Mar 17 2016, 09:28) *
Вот как то так.

Жесть. sm.gif
Главное, вопрос в чём? Видимо, он звучит так: зачем?

Оформление на бумаге с рамками нужно для людей, которые читают с бумаги. Никто и никогда не будет делать рисунок платы с бумажного подлинника (и из электронного PDF-исходника тоже), для этого есть файлы. Зачем тогда печать в PDF? Не в силах побороть маразм нормоконтроля? Тогда маразм идёт к вам! sm.gif

Автор: KAlexn Mar 17 2016, 07:57

Цитата(vitan @ Mar 17 2016, 09:38) *
Жесть. sm.gif
Видимо, он звучит так: зачем?

Перед монтажником плата и светодиод, который надо впаять на высоте 12 мм над уровнем платы, и как быть.
Хорошо если он рядом и я ему подскажу, а если пайка на стороне.
И у монтажников я что то не видел компьютеров на столе.

Автор: Uree Mar 17 2016, 09:00

В Аллегро имеется замечательный экспорт в ПДФ, подробно настраиваемый, с возможностью генерации не просто картинки, но и полного дерева свойств объектов. К чем тут печать из САМ350?

Автор: vitan Mar 17 2016, 09:58

Цитата(KAlexn @ Mar 17 2016, 10:57) *
Перед монтажником плата и светодиод, который надо впаять на высоте 12 мм над уровнем платы, и как быть.

Ну так Вы сразу мысль формулируйте нормально, мол, вопрос такой "как показать на сборочном чертеже изделия (модуля), что светодиод надо впаивать на высоте 12 мм?". А то телепаты все в отпусках. Лично я мало того, что не увидел вопроса, так ещё и подумал, что речь о сборочном чертеже печатной платы, а не изделия\модуля (разница как бы есть, правда?).
Ну и таки ответ: делаете вид сбоку и указываете размер 12 мм от платы до нужной кромки светодиода, как бы банально это ни звучало...

Автор: KAlexn Mar 17 2016, 10:43

Цитата(vitan @ Mar 17 2016, 12:58) *
Ну так Вы сразу мысль формулируйте нормально, мол, вопрос такой "как показать на сборочном чертеже изделия (модуля), что светодиод надо впаивать на высоте 12 мм?"

Я об этом и спрашиваю, кто как оформляет сборочный чертеж используя OrCad PCB Desinger Standart? Желательно по ГОСТам.
Вот мои примеры: монтажка для монтажников и сборочный.

 

Автор: vitan Mar 17 2016, 19:55

Цитата(KAlexn @ Mar 17 2016, 13:43) *
Я об этом и спрашиваю, кто как оформляет сборочный чертеж используя OrCad PCB Desinger Standart? Желательно по ГОСТам.
Вот мои примеры: монтажка для монтажников и сборочный.

Используя OrCad PCB Desinger Standart сложно создавать сборочные чертежи по ГОСТу. Для начала попробуйте написать в штампе что-нибудь по-русски. sm.gif Поэтому обычно это делается в специализированном софте, типа солида, компаса или автокада. Для электронщиков также есть привлекательная вещь Blueprint PCB aka Orcad Documentation editor. Там совсем без проблем можно получить довольно вменяемый сборочник, но при этом минус - отсутствие поддержки ГОСТовской спецификации (даже кастомизация не поможет) и, как следствие, позиций на чертеже. Поэтому таки рекомендую солид.

Автор: GDicegolem Mar 21 2016, 13:16

Уважаемые коллеги, не получается достать компонент из базы CIS по запросу(query). Просто так через обзор все получается (в файле Capture.ini прописаны пути к файлам .olb), а через запрос - загорается красным, и пишет что поле, где должен быть указан символ - пустое.




UPD_
Оказалось, достаточно было закрыть приложение, удалить задействованный файл Capture.ini, и запустить OrCAD снова. После чего заново прописать в Capture.ini пути к футпринтам и символам.olb.

Автор: P4R4N014C Mar 25 2016, 08:05

Здравствуйте.Возникла вот какая проблема.При расстановке компонентов на плате при выделении уже расположенного элемента и попытке его передвинуть Allegro PCB editor 16.6 намертво зависает.В чем может быть проблема? Спасибо.

Автор: Uree Mar 25 2016, 15:46

В чем угодно. Какие особенности дизайна?

Автор: P4R4N014C Mar 26 2016, 04:59

Цитата(Uree @ Mar 25 2016, 19:46) *
В чем угодно. Какие особенности дизайна?

Спасибо что отозвались на проблему!
Все компоненты поверхностно монтируемые, посадочные места сделаны в pcb library expert (некоторые редактированы вручную). Есть отечественные элементы,но в названиях нет кириллицы,из названий также удалены запрещенные символы, кириллица присутствует только в дополнительно созданных атрибутах Specification, Dev, Title.При генерации netlist ошибок не было. Плата двусторонняя.Зависание возникает при попытке передвинуть (зависает даже просто при выделении компонента) уже расположенный компонент при активном слое ETCH. Перемещение компонентов при активном слое package geometry к проблемам не приводит - все нормально выделяется,двигается,поворачивается. В предыдущем проекте подобного не возникало, хотя там была многослойная печатная плата и также присутствовали отечественные компоненты. Подобная проблема возникает также у коллег по работе.

Автор: PCBtech Mar 26 2016, 09:44

Цитата(P4R4N014C @ Mar 26 2016, 07:59) *
Спасибо что отозвались на проблему!
Все компоненты поверхностно монтируемые, посадочные места сделаны в pcb library expert (некоторые редактированы вручную). Есть отечественные элементы,но в названиях нет кириллицы,из названий также удалены запрещенные символы, кириллица присутствует только в дополнительно созданных атрибутах Specification, Dev, Title.При генерации netlist ошибок не было. Плата двусторонняя.Зависание возникает при попытке передвинуть (зависает даже просто при выделении компонента) уже расположенный компонент при активном слое ETCH. Перемещение компонентов при активном слое package geometry к проблемам не приводит - все нормально выделяется,двигается,поворачивается. В предыдущем проекте подобного не возникало, хотя там была многослойная печатная плата и также присутствовали отечественные компоненты. Подобная проблема возникает также у коллег по работе.


Кириллицу нельзя просто так добавлять в атрибуты, там допустима только 7-битная кодировка.
Скорее всего дело в этом.

Пришлите нам пробный BRD файл на info@pcbsoft.ru
посмотрим, что можно сделать.

Автор: P4R4N014C Mar 28 2016, 13:14

Цитата(PCBtech @ Mar 26 2016, 13:44) *
Кириллицу нельзя просто так добавлять в атрибуты, там допустима только 7-битная кодировка.
Скорее всего дело в этом.

Пришлите нам пробный BRD файл на info@pcbsoft.ru
посмотрим, что можно сделать.

К сожалению, по некоторым причинам проект выслать не могу. Был бы рад выслушать ваши рекомендации.
С недавнего времени зависать стало и при выделении компонента при активном классе Assembly Top/Package geometry, правда реже.

Автор: P4R4N014C Mar 31 2016, 17:19

Проблема с вылетами решена с помощью отключения OpenGL.

Автор: Uree Mar 31 2016, 17:23

Ой как все грустно... железо какое-то странное у Вас что-ли? Без OGL выглядит совсем не интересно.

Автор: PCBtech Mar 31 2016, 17:48

Цитата(P4R4N014C @ Mar 26 2016, 07:59) *
Все компоненты поверхностно монтируемые, посадочные места сделаны в pcb library expert (некоторые редактированы вручную). Есть отечественные элементы,но в названиях нет кириллицы,из названий также удалены запрещенные символы, кириллица присутствует только в дополнительно созданных атрибутах Specification, Dev, Title..


Скажите, а как вы в дальнейшем используете атрибуты Specification, Dev, Title.?

Автор: ОРКАДА Apr 4 2016, 20:22

Может быть стоит просто обновить драйверы для видеокарты, поставить последний Hotfix и проблема будет решена с зависаниями? Попробуйте сделать так.

Автор: GDicegolem Apr 22 2016, 12:55

в OrCAD Capture 16.6 пытаюсь сделать рамку что-то вроде "основной надписи" по ГОСТ, чтобы в нижнем углу была таблица, а в верхнем-противоположном - рисунок (лейбл). Создал кастомный TitleBlock - здоровый, чуть меньше размеров листа. При выставлении всего этого на страницу схемы получается, что на схеме можно выделять только один компонент(кликом), а несколько компонентов (растяжением) уже выбрать нельзя, цепляется этот TitleBlock и перемещается((.
Подскажите, пожалуйста, как можно решить эту проблему, чтобы схема была внутри рамки TitleBlock и при этом компоненты выделялись?

Автор: Alex11 Apr 22 2016, 13:19

Там на Toolbar'е кнопочка есть - Area Select. Поставьте в режим Fully Enclosed. Будет легче.

Автор: GDicegolem Apr 22 2016, 13:39

Цитата(Alex11 @ Apr 22 2016, 15:19) *
Там на Toolbar'е кнопочка есть - Area Select. Поставьте в режим Fully Enclosed. Будет легче.

На TitleBlock это, к сожалению, не действует, рамка все равно цепляется и норовит переставиться(((.

Автор: Uree Apr 22 2016, 13:54

Тогда придется рисовать две рамки, одну нормальную, для нижнего угла, вторую отдельным компонентом, для верхнего.

А вообще эта верхняя рамка пережиток эпохи динозавров... когда деревья были зелеными, а "электронные" чертежи - бумажными. Кому это сейчас нужно - непонятно.

Автор: KAlexn Apr 22 2016, 14:58

Цитата(Uree @ Apr 22 2016, 16:54) *
А вообще эта верхняя рамка пережиток эпохи динозавров... когда деревья были зелеными, а "электронные" чертежи - бумажными. Кому это сейчас нужно - непонятно.


Может пригодится кому. При случае нарисовал.

 GNOM_V3D.ZIP ( 54.35 килобайт ) : 29
 

Автор: Budaev Apr 27 2016, 13:06

[attachment=100317:2016_04_...15_55_51.jpg]Добрый день
Как можно настроить инструмент расстановки ( Place -> Off-Page Connector), в OrCAD Capture
таким образом, чтобы в момент установки имя цепи не менялось.
По умолчанию, имя цепи меняется под OFFPAGELEFT-L ( а нужно чтобы подхватывала реальное имя цепи - N*****)

Автор: KAlexn May 13 2016, 12:42

Давно не разводил платы и вот опять.
Даже не развел, а отредактировал плату, приготовил гербер файлы.
стал смотреть в САМ 350 и вот оно - все отверстия и металлизированные и не металлизированные все одним цветом, т.е. САМ 350 отличий не видит.
Вроде ничего такого не делал.

 

Автор: Frezer May 18 2016, 06:26

Здравствуйте, скинул вам на почту вопрос про идентификацию элемента. Жду ответа. Спасибо. help.gif

Автор: KAlexn May 18 2016, 12:48

Цитата(Frezer @ May 18 2016, 09:26) *
Здравствуйте, скинул вам на почту вопрос про идентификацию элемента. Жду ответа. Спасибо. help.gif

Это Вы кому? А то вот:
"ООО «Оркада» прекращает сотрудничество с компанией Cadence, сохраняя при этом за собой обязательства по поддержке лицензионных пользователей программного обеспечения Cadence. Мы надеемся, что наше многолетнее сотрудничество с заказчиками будет продолжаться и развиваться в новых направлениях."
Только вот лицензионных тоже не поддерживают.

Автор: Uree May 18 2016, 13:38

О, даже так все грустно?

Автор: EvilWrecker May 18 2016, 13:43

Может от http://electronix.ru/redirect.php?http://www.orcada.ru/news1/news1_133.html? biggrin.gif

Автор: Uree May 18 2016, 14:00

А, теперь с Мегратек будут бодаться?sm.gif Так надо название менять, а то уж очень в мыслях к Оркаду(С) подводит...

Автор: EvilWrecker May 18 2016, 14:02

Цитата(Uree @ May 18 2016, 18:00) *
А, теперь с Мегратек будут бодаться?sm.gif Так надо название менять, а то уж очень в мыслях к Оркаду(С) подводит...


Будет теперь ПадсЛоджика, а не Оркада biggrin.gif

Автор: Magnet Jul 3 2016, 10:18

Доброе время суток.
По мере изучения раздела Capture, хочу упорядочить кашу в голове с такими запросами от работодателей как верификация Verilog, VHDL, FPGA.
К примеру задается вопрос - ты являешься спекманом Verilog и прочих языков описания аппаратуры?
Я в свою очередь интересуюсь о чем идет речь, схематика или интегральные программируемые чипы, если по ту сторону человек меня услышал, задаю вопрос о требованиях к среде верификации, тематике сигналов, присутствие алгоритмов которые я не буду проверять и т.п, так же спрашиваю исходный формат?
***
Теперь о птичках, в случае с CIS PSpice на этот вопрос невозможно дать прямой ответ, т.к. в одном случае проверка происходит на уровне схем, при этом и языковый скрипт обрабатывается на более высоком уровне? полученный автоматизированный текстовый лог не учитывает алгоритмы сложных сигналов (примитивная проверка ошибок синтаксиса написания схемы), абстрагированные форматы VHDL и FPGA в лучшем случае могут быть представлены в виде черных ящиков с описанием сигналов на входе и выходе, для чего, с целью верификации всей схемы требуется синтезировать эти сигналы средствами Allegro CIS design entry PSpice ...
***
Как технически грамотно ответить на поставленный вопрос, так, чтоб мы друг друга поняли, зачастую обратная сторона имеет дело с фрилансерами которые пишут и он должен быть уверен, что они не подсунули ему кота в мешке. Что в этом плане умеет Cadence инструмент?

Автор: Uree Jul 3 2016, 15:03

Magnet, ну разберитесь Вы наконец с направлением развития. Здесь мы "разрабатываем ПП в САПР". Вот как Вы думаете - каким местом ПП относятся к "...Verilog, VHDL...среде верификации, тематике сигналов, присутствие алгоритмов...CIS PSpice(это вообще ЧТО???)" Ну просто каша какая-то, сколько ж можно...

Автор: Magnet Jul 3 2016, 19:19

Цитата(Uree @ Jul 3 2016, 18:03) *
Magnet, ну разберитесь Вы наконец с направлением развития. Здесь мы "разрабатываем ПП в САПР". Вот как Вы думаете - каким местом ПП относятся к "...Verilog, VHDL...среде верификации, тематике сигналов, присутствие алгоритмов...CIS PSpice(это вообще ЧТО???)" Ну просто каша какая-то, сколько ж можно...


Каст назван OrCAD. Техническая поддержка.
Цитата
Увы, в подавляющем большинстве случаев, инженер электронщик и разводчик платы - это две разные специальности. Собственно так оно может и должно быть, но выясняется что первый совершенно не понимает проблем второго. 90% схем рисуют схемы в OrCAD, тщательно соблюдая нумерацию дорожек микросхем. При этом считается вполне естественным опускать нумерацию выводов дискретных компонентов: диодов, полярных конденсаторов, транзисторов и т.д.

В результате космический грузовой корабль вместо направления в космос, при старте разворачивается на 180 и летит в землю.
Capture очень многообразен и является не просто рисовалкой, у меня к нему есть масса вопросов, но здесь почему то упорно от него отгораживаются.
Понял что их тут не жалуют, а жаль.

Автор: vitan Jul 3 2016, 19:33

Цитата(Magnet @ Jul 3 2016, 22:19) *
Каст назван OrCAD. Техническая поддержка.

В результате космический грузовой корабль вместо направления в космос, при старте разворачивается на 180 и летит в землю.
Capture очень многообразен и является не просто рисовалкой, у меня к нему есть масса вопросов, но здесь почему то упорно от него отгораживаются.
Понял что их тут не жалуют, а жаль.

Кто отгораживается? Кто кого не жалует?
И главный вопрос: Вам русский язык не родной?
Ну ничегошеньки же непонятно из всего набора слов!
После таких постов периодически возникает желание попроситься в модераторы...

Автор: Magnet Jul 23 2016, 19:43

Никак не могу продвинуться в сторону отработки дальнейших навыков работы в PCB Edit, из за ерундовой причины - отсутствия готовых под нетлист схем на более продвинутый уровень. Простые схемы я строил и прошел до конца с трассировкой и получением гербера, так же исходного набора файлов в производство.
А дальше что, переносить схемы из случайных pdf ресурсов, это уйма времени, далее заниматься исправлением ошибок и т.д....?
Где мне взять готовые opj с компонентами к примеру AIC, чипами много разрядной памяти и т.п. для изучения продвинутого фанаута. В примерах которые идут с 16.60 их никогда не было, а если что то и есть примитивное, то с кучей незавершенных УГО (не правильно прописаны сигналы или непронумерованы пины, или вообще хлам с недостающими пинами).
Прям какая то борьба с ветряными мельницами cranky.gif

Автор: Magnet Aug 4 2016, 09:01

Цитата(Magnet @ Jul 23 2016, 22:43) *
Никак не могу продвинуться в сторону отработки дальнейших навыков работы в PCB Edit, из за ерундовой причины - отсутствия готовых под нетлист схем на более продвинутый уровень. Простые схемы я строил и прошел до конца с трассировкой и получением гербера, так же исходного набора файлов в производство.
А дальше что, переносить схемы из случайных pdf ресурсов, это уйма времени, далее заниматься исправлением ошибок и т.д....?
Где мне взять готовые opj с компонентами к примеру AIC, чипами много разрядной памяти и т.п. для изучения продвинутого фанаута. В примерах которые идут с 16.60 их никогда не было, а если что то и есть примитивное, то с кучей незавершенных УГО (не правильно прописаны сигналы или непронумерованы пины, или вообще хлам с недостающими пинами).
Прям какая то борьба с ветряными мельницами cranky.gif


РЕШЕНИЕ НАЙДЕНО, ВОПРОС ЗАКРЫТ.



Попробовал функцию pcb autoroute, все предельно быстро и без ошибок, в дальнейшем мне пришлось заниматься редактированием дорожек, т.к. были нарушены принципы соблюдения правил волновых импедансов PCB.
Вопрос - существует ли возможность предварительного задания правил для pcb autoroute?
Где с этим можно подробно ознакомиться?

Автор: Mikhail241 Jun 27 2017, 09:12

Добрый день. Интересует вопрос применения системы контроля версии (например,Git) к проектам оркад (работаю со схематик). Создаю снимок состояния проекта в Git,и затем делаю в схеме изменения , а после делаю откат к снимку и возникает ошибка в схематик. Судя по сравнению рабочих папок до и после, изменения происходят там корректно. Т.е. есть некоторые файлы (видимо в Program Files), которые не учитываются в Git. Можно ли вообще использовать систему Git для таких задач? Если нет - есть ли какие-то альтернативы?

Автор: Bear_ku Jun 28 2017, 04:03

Использую тот же Git. Ни каких проблем с возвратом к предыдущим коммитам нет.

Автор: ZeratulSC007 Sep 5 2017, 12:55

Добрый день.
Возникла необходимость переосвоить Cadence Virtuoso и Allegro со стороны работы в командной строке. Можно от опытных товарищей получить совет/ссылку на ресурс, в котором могу найти информацию по работе с командной строкой?
Нашел информацию по Skill, но CIW никак не реагирует на попытки запустить SkillIDE, что делать?

Автор: PCBtech Sep 9 2017, 19:38

Цитата(ZeratulSC007 @ Sep 5 2017, 15:55) *
Добрый день.
Возникла необходимость переосвоить Cadence Virtuoso и Allegro со стороны работы в командной строке. Можно от опытных товарищей получить совет/ссылку на ресурс, в котором могу найти информацию по работе с командной строкой?
Нашел информацию по Skill, но CIW никак не реагирует на попытки запустить SkillIDE, что делать?


Если вы хотите вводить команды в Allegro на языке skill, то введите сначала в командной строке skill - и вы перейдете в интерпретатор скилла.
На саппорте имеется несколько неплохих документов по языку. Также есть несколько PDF-документов на эту тему в папке инсталляции Allegro.


Автор: ed8888 Oct 4 2017, 10:04

добрый день.
разъясните какие расширения кушает СА в качестве 3D моделей компонентов... обратил внимание что не все файлы открывает (конкретно - от solidwoks)
спасибо

Автор: PCBtech Oct 11 2017, 15:48

Цитата(ed8888 @ Oct 4 2017, 13:04) *
добрый день.
разъясните какие расширения кушает СА в качестве 3D моделей компонентов... обратил внимание что не все файлы открывает (конкретно - от solidwoks)
спасибо


STEP берет нормально, только если нет русских букв в имени файла.

Автор: ed8888 Oct 23 2017, 09:16

Добрый день! Подскажите как настройки экспортировать из проекта в проект? Это делается несколькими файлами или одним?
(к примеру я так понимаю что в файле ENV только настройки горячих клавиш...) хочется экспортировать по максимуму настройки, в том числе и пути к файлам библиотек...
и настройки слоев... и т.д.
Спасибо...

Автор: Uree Oct 23 2017, 09:27

Горячие клавиши в ENV-файле или в site.env.
Пути к библиотекам в ENV-файле.
Настройки проекта вытаскиваются в techfile и parameters, оба доступны в File -> Export.

Автор: ed8888 Oct 23 2017, 09:29

Спасибо, гляну


Заглянул в свой ENV... там пути к библиотекам по умолчанию... (самой системы), а своих прописанных путей к библиотекам там не нашел... или это должно быть в локальном ENV?

Автор: Uree Oct 23 2017, 10:51

Да, это в локальном ENV пользователя. Там, где все настройки из Setup -> User Preferences.

Автор: c.asper Nov 17 2017, 12:56

Добрый день! Работаю в OrCAD Layout Plus 15.7.
Сейчас встал вопрос сделать многослойную (4 стороны). Не могу найти в темах, как это делается.
Ткните носом, пожалуйста...
Всегда использовал "metric.tch"
Заранее спасибо!

Автор: ed8888 Nov 17 2017, 18:58

Цитата(c.asper @ Nov 17 2017, 15:56) *
Добрый день! Работаю в OrCAD Layout Plus 15.7.
Сейчас встал вопрос сделать многослойную (4 стороны). Не могу найти в темах, как это делается.
Ткните носом, пожалуйста...
Всегда использовал "metric.tch"
Заранее спасибо!


.tch можешь свой использовать...
активируешь для трассировки два дополнительных слоя (например типа PWR и GND) и формируешь требуемые для твоего проекта VIA (только помни что глухие ведут к удорожанию проекта...)
и вперед...
удачи

Автор: c.asper Nov 20 2017, 10:47

Цитата(ed8888 @ Nov 17 2017, 21:58) *
.tch можешь свой использовать...
активируешь для трассировки два дополнительных слоя (например типа PWR и GND) и формируешь требуемые для твоего проекта VIA (только помни что глухие ведут к удорожанию проекта...)
и вперед...
удачи

Спасибо. Буду пробовать.

Автор: c.asper Nov 20 2017, 14:58

Включил 2 дополнительных слоя PWR и GND, выделил области под заливку полигонами, привязал к нужным цепям, Получил 2 нужных квадрата и кучу ошибок, и цепи не привязались к двум дополнительным слоям PWR и GND...
Что-то делаю не так, а что, понять не могу.
Какие Obstacle Type и Obstacle Layer нужно выбрать для 2-х дополнительных слоев?

Автор: c.asper Nov 23 2017, 15:30

Разобрался сам как делать 4 слоя. Остался последний вопрос, какой атрибут ставить на слоях при выводе Герберов PWR и GND.
Прочитал книгу Кайкова ДМ, там написано, что на PWR и GND ставится LAYER TYPE - PLANE...

Если ставить LAYER TYPE - PLANE, то гербер получается только с прорисованным контуром полигона и подсвеченными контактными площадками, на которые этот слой замкнут. (см. PLANE)
Если ставить LAYER TYPE - ROUTING, то гербер получается с полностью залитым контуром полигона (как я это привык видеть на TOP и BOT) и залитыми контактными площадками, на которые этот слой замкнут. (см. ROUTING)
Интуитивно понимаю, что нужно ставить LAYER TYPE - ROUTING, чтобы было визуально наглядно.
Но хочу спросить ваших советов так ли я делаю ?
Работаю с Резонитом уже 10 лет. Герберы только туда отправлял.

Заранее спасибо откликнувшимся!


Автор: ed8888 Nov 23 2017, 18:52

[quote name='c.asper' post='1531878' date='Nov 23 2017, 18:30']Разобрался сам как делать 4 слоя. Остался последний вопрос, какой атрибут ставить на слоях при выводе Герберов PWR и GND.
Прочитал книгу Кайкова ДМ, там написано, что на PWR и GND ставится LAYER TYPE - PLANE...

Если ставить LAYER TYPE - PLANE, то гербер получается только с прорисованным контуром полигона и подсвеченными контактными площадками, на которые этот слой замкнут. (см. PLANE)
Если ставить LAYER TYPE - ROUTING, то гербер получается с полностью залитым контуром полигона (как я это привык видеть на TOP и BOT) и залитыми контактными площадками, на которые этот слой замкнут. (см. ROUTING)
Интуитивно понимаю, что нужно ставить LAYER TYPE - ROUTING, чтобы было визуально наглядно.
Но хочу спросить ваших советов так ли я делаю ?
Работаю с Резонитом уже 10 лет. Герберы только туда отправлял.


для них тип назначаешь как и для TOP и BOTTOM... значит - ROUTING...

Автор: c.asper Nov 24 2017, 07:05

Спасибо, ed8888 ! Я так и думал bb-offtopic.gif

Автор: SSerge Nov 24 2017, 07:49

Цитата(c.asper @ Nov 23 2017, 22:30) *
Прочитал книгу Кайкова ДМ, там написано, что на PWR и GND ставится LAYER TYPE - PLANE...

Почитайте ещё, там упоминаются слои INNER1, INNER2,... и слой с именем просто INNER в библиотеке футпринтов.
Можно, конечно, слои PWR и GND переделать из PLANE в обычные (ROUTING), но это потянет за собой перелопачивание библиотеки футпринтов.
Проще загрузить подходящий темплейт многослойной платы и использовать слои INNER1, INNER2 как внутренние.

Автор: c.asper Nov 24 2017, 08:11

Цитата(SSerge @ Nov 24 2017, 10:49) *
Проще загрузить подходящий темплейт многослойной платы и использовать слои INNER1, INNER2 как внутренние.


А какой стандартный темплейт многослойной платы (4 слоя) нужно загрузить, чтобы не перелопачивать библиотеки футпринтов?

Автор: SSerge Nov 24 2017, 11:02

Цитата(c.asper @ Nov 24 2017, 15:11) *
А какой стандартный темплейт многослойной платы (4 слоя) нужно загрузить, чтобы не перелопачивать библиотеки футпринтов?

Я уже не помню, несколько лет в Layout не заглядывал.
Если нет подходящего темплейта можно прямо в уже имеющейся плате нужные слои разрешить, ненужные запретить, настройки зазоров для слоёв поправить и т.д.
Layout в этом смысле штука простая - там никаких сложных взаимозависимостей нет, а темплейты это просто способ собрать все настройки вместе и все их разом применить.
Использовать их совсем не обязательно, можно и без темплейтов плату с нуля создать.
Это вопрос чисто удобства, один раз настраиваете, сохраняете как .tch или .tpl и можно для следующей платы все эти настройки использовать.

Предпочитаете внутренние слои использовать как PLANE - разрешайте PWR и GND, хотите для трассировки "как обычно" - разрешайте INNER1 и INNER2.

PS. В готовых библиотеках площадки на слоях PWR и GND как правило определены немного крупнее чем на прочих, именно это я имел в виду говоря про "перелопачивать".
Причём это не догма, а просто следствие возможностей производства на момент создания этих библиотек. Сейчас как правило производство плат способно на большее и имеющиеся шаблоны уже здорово отстали от жизни. Чтобы использовать возможности современного производства все эти настройки зазоров и размеры площадок всё равно нужно пересматривать все.

Автор: c.asper Nov 24 2017, 11:36

Спасибо, SSerge, все доходчиво объяснили!
Альтернатива оркаду 15.7 я так понимаю Cadence 17,2 - Allegrо Design Entry CIS и Allegrо PCB Designer ?

Автор: Uree Nov 24 2017, 12:24

В пакете 17.2 есть и OrCAD Capture CIS и OrCAD PCB Designer(Professional).

Автор: KAlexn Dec 5 2017, 06:33

В каком слое делать вырез в плате, а то встречаются разночтения?

Автор: c.asper Dec 5 2017, 06:48

Цитата(KAlexn @ Dec 5 2017, 09:33) *
В каком слое делать вырез в плате, а то встречаются разночтения?


В любом удобном слое, главное его потом экспортировать и указать на это. Я контур платы и вырезы делаю в DRLDWG.

Автор: Uree Dec 5 2017, 07:58

А в каком редакторе его делаете?

Автор: c.asper Dec 5 2017, 08:34

Цитата(Uree @ Dec 5 2017, 10:58) *
А в каком редакторе его делаете?

OrCAD 15.7

Автор: Uree Dec 5 2017, 08:57

Это Вы ответили. А спрашивал KAlexn. Вот у него я и хотел уточнить о каком именно редакторе вопрос.

Автор: KAlexn Dec 5 2017, 09:14

Цитата(Uree @ Dec 5 2017, 11:57) *
Это Вы ответили. А спрашивал KAlexn. Вот у него я и хотел уточнить о каком именно редакторе вопрос.

17.2 OrCad PCB Designer Standard

Автор: Uree Dec 5 2017, 09:51

Я рисую контур выреза в Board Geometry/Outline, путь фрезы в Board Geometry/NCRoute_Path, ну и добавляю Route Keepout/All дабы вырезать в этом месте медь шейпов и не "въехать" туда случайно трассой.

Автор: Карлсон Dec 5 2017, 17:11

В 17.2 для границ используется Board geometry -> Design Outline. Для вырезов Board Geometry -> Ncroute_Path. При таком сочетании в 3d всё видно.

Автор: KAlexn Dec 6 2017, 05:52

Цитата(Карлсон @ Dec 5 2017, 20:11) *
17.2 Для вырезов Board Geometry -> Ncroute_Path. При таком сочетании в 3d всё видно.

рисую путь фрезы и в 3D ничего не видно:

 

Автор: PCBtech Dec 6 2017, 06:04

Цитата(KAlexn @ Dec 6 2017, 08:52) *
рисую путь фрезы и в 3D ничего не видно:


А отступ в полигоне сделан?

Автор: KAlexn Dec 6 2017, 07:28

Цитата(PCBtech @ Dec 6 2017, 09:04) *
А отступ в полигоне сделан?

Путь фрезы я рисовал линией, а нужно полигоном?

Автор: Uree Dec 6 2017, 07:59

Нет, именно линией и нужно. А отступ, как и писал выше, кипаутом сделайте.

Автор: KAlexn Dec 6 2017, 08:19

Цитата(Uree @ Dec 6 2017, 10:59) *
Нет, именно линией и нужно. А отступ, как и писал выше, кипаутом сделайте.

Шейпом?

Автор: Uree Dec 6 2017, 09:11

Да, шейпом. Аллегро только их воспринимает ка правильные примитивы кипаутов.

Автор: KAlexn Dec 6 2017, 09:47

Цитата(Uree @ Dec 6 2017, 12:11) *
Да, шейпом. Аллегро только их воспринимает ка правильные примитивы кипаутов.

Тогда проще все через шейп и Z-Copy

Автор: Карлсон Dec 6 2017, 10:36

Цитата(KAlexn @ Dec 6 2017, 08:52) *
рисую путь фрезы и в 3D ничего не видно:


Включите новый просмотрщик 3D. В нём всё отображается.

Автор: Uree Dec 6 2017, 10:55

Цитата(KAlexn @ Dec 6 2017, 10:47) *
Тогда проще все через шейп и Z-Copy


При проектировании проще, а вот что будет с выходным файлом фрезеровки - непонятно. Просто линия в этом слое транслируется в route-файл как путь фрезы (диаметр фрезы = ширина линии). Что оно будет выводить в случае шейпа это вопрос...
Я не пишу о видах в 3D, только о корректном задании исходных данных для завода.

Автор: DAndy_boy Dec 20 2017, 17:33

Добрый день.
Пользуюсь старой версией OrCAD, досталась по наследству от предыдущего работника.
Уже несколько недель разрабатываю плату. Нарисовал схему в Capture (16.6), экспортирую лист соединений в LayOut Plus (16.2). До некоторых пор было все нормально. и вот при очередном обновлении net-листа в LayOut процесс применения нового листа просто обрывается не завершившись. в логе вот такая ошибка:

Код
Wed Dec 20 20:27:28 Saved as file BACKUP1.MAX
Wed Dec 20 20:27:28 Saved as file D:\IVANOV\SNP-30\HW\TRUNK\SNP_30-44.MAX
Wed Dec 20 20:27:29 UserDiv from Layout.INI for AutoECO: 60
Wed Dec 20 20:27:29 Loaded file D:\IVANOV\SNP-30\HW\TRUNK\SNP_30-44.MAX
Wed Dec 20 20:27:30 Error code 5227.015 (-999)



и что теперь делать не знаю. Переходить на новую версию типа Allegro ну никак времени нет, поскольку как я понял придется перерисовывать все футпринты и полностью перерисовывать плату, а это более 1200 соединений и более 750 элементов.
подскажите как решить проблему?

Автор: ed8888 Dec 21 2017, 05:53

Цитата(DAndy_boy @ Dec 20 2017, 20:33) *
Добрый день.
Пользуюсь старой версией OrCAD, досталась по наследству от предыдущего работника.
Уже несколько недель разрабатываю плату. Нарисовал схему в Capture (16.6), экспортирую лист соединений в LayOut Plus (16.2). До некоторых пор было все нормально. и вот при очередном обновлении net-листа в LayOut процесс применения нового листа просто обрывается не завершившись. в логе вот такая ошибка:
Код
Wed Dec 20 20:27:28 Saved as file BACKUP1.MAX
Wed Dec 20 20:27:28 Saved as file D:\IVANOV\SNP-30\HW\TRUNK\SNP_30-44.MAX
Wed Dec 20 20:27:29 UserDiv from Layout.INI for AutoECO: 60
Wed Dec 20 20:27:29 Loaded file D:\IVANOV\SNP-30\HW\TRUNK\SNP_30-44.MAX
Wed Dec 20 20:27:30 Error code 5227.015 (-999)



и что теперь делать не знаю. Переходить на новую версию типа Allegro ну никак времени нет, поскольку как я понял придется перерисовывать все футпринты и полностью перерисовывать плату, а это более 1200 соединений и более 750 элементов.
подскажите как решить проблему?


попробуй поработать не с MAX файлом, а с одним из BACKUP-ов... иногда такое было... приходилось таким образом возвращаться к промежуточному сохраненному файлу... тратил лишнее время конечно, но это лучше чем с нуля... удачи!

Автор: PCBtech Dec 21 2017, 06:09

Цитата(DAndy_boy @ Dec 20 2017, 20:33) *
и что теперь делать не знаю. Переходить на новую версию типа Allegro ну никак времени нет, поскольку как я понял придется перерисовывать все футпринты и полностью перерисовывать плату, а это более 1200 соединений и более 750 элементов.
подскажите как решить проблему?


Библиотеки и проекты из Layout по идее должны нормально импортироваться в Allegro 16.6
Может быть, с минимальными корректировками.

Автор: DAndy_boy Dec 21 2017, 06:53

Цитата(ed8888 @ Dec 21 2017, 08:53) *
попробуй поработать не с MAX файлом, а с одним из BACKUP-ов... иногда такое было... приходилось таким образом возвращаться к промежуточному сохраненному файлу... тратил лишнее время конечно, но это лучше чем с нуля... удачи!

Известная мне практика. Пробовал так делать. Один раз лист соединений применяется, а вот последующие уже нет.

Цитата(PCBtech @ Dec 21 2017, 09:09) *
Библиотеки и проекты из Layout по идее должны нормально импортироваться в Allegro 16.6
Может быть, с минимальными корректировками.

Где можно почитать про такой импорт?

Автор: Uree Dec 21 2017, 07:56

Цитата(DAndy_boy @ Dec 21 2017, 07:53) *
Где можно почитать про такой импорт?


А что там читать, открывать PCB Editor(хоть OrCAD, хоть Allegro) и сюда:




Правда редактор совршенно другой, поэтому плата-то будет, а вот пойдет ли в нем работа - это вопрос...

Автор: DAndy_boy Dec 21 2017, 20:39

Цитата(Uree @ Dec 21 2017, 10:56) *
А что там читать, открывать PCB Editor(хоть OrCAD, хоть Allegro) и сюда:




Правда редактор совршенно другой, поэтому плата-то будет, а вот пойдет ли в нем работа - это вопрос...

Спасибо, буду пробовать. Других вариантов нет.

Автор: PCBtech Dec 22 2017, 08:41

Цитата(DAndy_boy @ Dec 21 2017, 23:39) *
Спасибо, буду пробовать. Других вариантов нет.


Вот тут инструкции по освоению:
http://electronix.ru/redirect.php?https://www.pcbsoft.ru/tutorials

Особенно вот эта:
Быстрый старт Allegro/Capture

Автор: DAndy_boy Dec 22 2017, 16:16

Пытаюсь создать net-лист и выдает +100500 ошибок. В основном это вот такие:
#2 ERROR(ORCAP-36071): Illegal character "Forward Slash(/)" found in "PCB Footprint" property for component instance L6: SCHEMATIC1, Power (162.50, 215.00) .
#3 ERROR(ORCAP-36071): Illegal character "Dot(.)" found in "PCB Footprint" property for component instance DA1: SCHEMATIC1, SCHEME_1 (280.00, 305.00) .

Так я использую библиотеки в которых 98% названий содержат в себе символы '.' и '\'. Что же мне теперь для перехода в Allegro PCB Designer переименовывать все футпринты придется в своих библиотеках?

Автор: Uree Dec 22 2017, 17:47

Да, придется. Не разрешены там такие символы. Какие еще могут быть варианты?

Автор: Шухарт Feb 6 2018, 12:23

Первоначально при попытке создание нетлиста была ошибка-Оркад ругался на одноимённые пины у микросхемы- (Vcc,GND,I/O,NC). Чтобы от этого уйти, я в свойствах этих пинов поменял атрибут passive на power.
Но теперь возникает такая ошибка: #30 ERROR(ORCAP-36033): Net named "NC" found on the design. Please rename the net.
Естественно выводы NC у меня просто висят неподключенными. Как исправить эту ошибку?

Автор: Uree Feb 6 2018, 12:27

Нужно эти пины сделать невидимыми, т.е. выбрать им Shape типа Zero Length и снять галочку видимости. В сумме невидимость + тип Power + имя NC позволят иметь много таких пинов в компоненте и на схеме без генерации ошибок в нетлисте.

Автор: Шухарт Feb 6 2018, 12:51

Цитата(Uree @ Feb 6 2018, 16:27) *
Нужно эти пины сделать невидимыми, т.е. выбрать им Shape типа Zero Length и снять галочку видимости. В сумме невидимость + тип Power + имя NC позволят иметь много таких пинов в компоненте и на схеме без генерации ошибок в нетлисте.


Спасибо. А нельзя их никак оставить видимыми на схеме?
В голову приходит только переименование их в NC1,NC2,NC3.. Может есть ещё варианты?

Автор: Uree Feb 6 2018, 13:52

Без вариантов, только так, как писал. Ну либо NC1... но это уже на свой вкус. А собственно зачем оставлять их на схеме? Особенно если их много(как например неиспользуемые пины одного-двух банков FPGA)? Ну вот есть у меня такое на схеме, и зачем оно нужно?



Автор: Шухарт Feb 6 2018, 14:01

Цитата(Uree @ Feb 6 2018, 17:52) *
Без вариантов, только так, как писал. Ну либо NC1... но это уже на свой вкус. А собственно зачем оставлять их на схеме? Особенно если их много(как например неиспользуемые пины одного-двух банков FPGA)? Ну вот есть у меня такое на схеме, и зачем оно нужно?



Так как-то нагляднее)
Пусть стоит себе в сторонке

Автор: Uree Feb 6 2018, 14:10

Реально - только занимает место на схеме, ни для чего более оно не пригодится.

Автор: ed8888 Feb 16 2018, 08:25

Добрый день. Подскажите как для 3D модели проекта задать толщину платы? Спасибо!

Автор: Uree Feb 16 2018, 08:29

Да она независимо от 3D задается в стэке платы Setup -> Cross-Section.

Автор: ed8888 Feb 16 2018, 10:06

Цитата(Uree @ Feb 16 2018, 11:29) *
Да она независимо от 3D задается в стэке платы Setup -> Cross-Section.


Спасибо! Понял.





Подскажите, если кто знает: не получается экспорт проекта в STEP-файл (голая плата, без самих 3D-моделей получается... с ними нет)... LOG файл с НУЛЕВОЙ длинной..?? Как быть? Спасибо!

 

Автор: KAlexn Feb 16 2018, 10:54

Цитата(ed8888 @ Feb 16 2018, 13:06) *
Спасибо! Понял.





Подскажите, если кто знает: не получается экспорт проекта в STEP-файл (голая плата, без самих 3D-моделей получается... с ними нет)... LOG файл с НУЛЕВОЙ длинной..?? Как быть? Спасибо!

Включить не забыли?

 

Автор: ed8888 Feb 16 2018, 11:00

Цитата(KAlexn @ Feb 16 2018, 13:54) *
Включить не забыли?


включен... sad.gif(
от этих настроек никак не зависит?




 

Автор: KAlexn Feb 16 2018, 11:16

Цитата(ed8888 @ Feb 16 2018, 14:00) *
включен... sad.gif(
от этих настроек никак не зависит?

Все включено

 

Автор: PCBtech Feb 16 2018, 11:26

Цитата(KAlexn @ Feb 16 2018, 14:16) *
Все включено


Все STEP-модели должны присутствовать в "пути" редактора, т.е. недостаточно, чтобы они были внутрь проекта подтянуты.
Проверьте, что пути не сбились.
Также рекомендуем настраивать ориентацию и точку привязки STEP-моделей "в ноль" относительно точки привязки футпринта.
Для этого можно пользоваться бесплатными 3D-редакторами, есть инструкция, как это удобно можно делать.

Автор: ed8888 Feb 16 2018, 11:33

Цитата(KAlexn @ Feb 16 2018, 14:16) *
Все включено



Спасибо! Именно флажок - разрешить для экспорта... sm.gif))

Автор: ed8888 Feb 20 2018, 10:27

Добрый день! Подскажите какими средствами лучше всего сделать полигон внутри полигона (в качестве острова) в той же цепи, но отгороженный большей частью от основного полигона? Спасибо!

Автор: Шухарт Feb 20 2018, 10:54

Добрый день. Есть вопрос по схемному редактору OrCAD Capture
Можно ли в схеме присваивать цепи своё имя, но при этом "гасить" красные названия этих цепей, чтобы не нагромождали схему? Просто к примеру есть дифпара, которая идёт вначале на резисторы, потом на дроссели а затем на защитную диодную сборку и как-то с одной стороны некуда эти надписи этих цепей там втулить, а с другой- по названию в CМ будет потом проще дифпары находить

Автор: PCBtech Feb 20 2018, 11:27

Цитата(Шухарт @ Feb 20 2018, 13:54) *
Добрый день. Есть вопрос по схемному редактору OrCAD Capture
Можно ли в схеме присваивать цепи своё имя, но при этом "гасить" красные названия этих цепей, чтобы не нагромождали схему? Просто к примеру есть дифпара, которая идёт вначале на резисторы, потом на дроссели а затем на защитную диодную сборку и как-то с одной стороны некуда эти надписи этих цепей там втулить, а с другой- по названию в CМ будет потом проще дифпары находить


Покрасить текст названия цепи в белый цвет.

Автор: Uree Feb 20 2018, 11:58

Цитата(ed8888 @ Feb 20 2018, 11:27) *
Добрый день! Подскажите какими средствами лучше всего сделать полигон внутри полигона (в качестве острова) в той же цепи, но отгороженный большей частью от основного полигона? Спасибо!


Проще всего не пытаться рисовать два полигона(шейпа), а изолировать часть полигона создав в нем вырез нужной формы. Такой вырез создается шейпом на слое Route Keepout для соответствующего слоя Etch.

Цитата(Шухарт @ Feb 20 2018, 11:54) *
Можно ли в схеме присваивать цепи своё имя, но при этом "гасить" красные названия этих цепей, чтобы не нагромождали схему? Просто к примеру есть дифпара, которая идёт вначале на резисторы, потом на дроссели а затем на защитную диодную сборку и как-то с одной стороны некуда эти надписи этих цепей там втулить, а с другой- по названию в CМ будет потом проще дифпары находить


Присвойте имя только нужным цепям, остальным не обязательно. Затем добавьте/отредактируйте атрибут CLASS=DISCRETE для последовательных компонентов(резисторы/конденсаторы/биды/защиты) в полной цепи от источника до приемника. Затем в РСВ задайте ESpiceDevice модели для этих компонентов.
В итоге получите корректные XNet(как для одиночных цепей, так и диффпары состоящие из пар XNet), включающие в себя все отрезки цепи, для которых можно задавать все правила, и физические, и зазоры, и электрические(выравнивания, фазы и пр.).

Автор: ed8888 Feb 21 2018, 07:05

Добрый день! Подскажите как в Allegro указать контур фрезерование канавок на плате? Спасибо!

Автор: Uree Feb 21 2018, 07:41

Контур - линией на слое BOARD GEOMETRY / OUTLINE.
Но если хотите, чтобы фрезерование попало в выходной route-файл нарисуйте линию фрезеровки на слое BOARD GEOMETRY / NCROUTE_PATH, он именно для этого и предназначен.
А чтобы в зону фрезеровки случайно не попали трассы и были вырезаны полигоны стОит еще добавить полигон на слое ROUTE KEEPOUT / ALL.

Вот как это может в итоге выглядеть для большого паза в плате, сделанного фрезой 2мм:



Автор: ed8888 Feb 21 2018, 07:59

Цитата(Uree @ Feb 21 2018, 10:41) *
Контур - линией на слое BOARD GEOMETRY / OUTLINE.
Но если хотите, чтобы фрезерование попало в выходной route-файл нарисуйте линию фрезеровки на слое BOARD GEOMETRY / NCROUTE_PATH, он именно для этого и предназначен.
А чтобы в зону фрезеровки случайно не попали трассы и были вырезаны полигоны стОит еще добавить полигон на слое ROUTE KEEPOUT / ALL.

Вот как это может в итоге выглядеть для большого паза в плате, сделанного фрезой 2мм:




А фрезеровка на не полную глубину? (скрайбирование ( v-cut))

И второй вопрос - возможно ли в СА создавать проект мультиплексированой платы? Или мне прямой путь в CAM350. Спасибо!

Автор: Uree Feb 21 2018, 08:52

Не мешайте V-Cut с фрезеровкой, это разные процессы с разными правилами и ограничениями.
Можно просто нарисовать линии скрайбирования на отдельном слое и выпустить их в гербер, но имхо - не имеет смысла. Именно потому, что как таковой возможности мультипликации плат в аллегро нет, а скрайбирование именно там и необходимо. Так что да, для таких задач совместно с Аллегро нужно использовать внешний САМ-редактор. У них кстати какое-то вроде сотрудничество с DownStream, так что к пакету добавляется(или даже частично интегрируется, точно не скажу) САМ-редактор BluePrint PCB(внешне очень похож на САМ350, но наверно есть и отличия). Но в ту сторону не копал, подробней ничего не скажу.

Мы для мультиплицирования рисуем на доп. слое контур панели, контуры плат в панели, линии V-Cut и линии фрезеровки и пускаем это отдельным гербером, по которому уже производитель делает полную панелизацию, например вот так:


Автор: Карлсон Feb 21 2018, 14:50

Не знаю с какого хотфикса, но если есть лицензия на Productivity Toolbox, кажется, то можно панелизацию в самом PCB Editor'е делать. Немного криво работает, но жить можно.
Вам нужен Manufacture->Fab panelization tool.
В принципе, там всё достаточно интуитивно понятно.

Единственное наблюдение - если хотите сделать панель не скрайбированием, а фрезеровкой по контуру, то лучше контур фрезеровки и разделительные перемычки рисовать в проекте платы, а не панели. Так проще намного получается.

А для скрайбирования, по смыслу подходит Board geometry -> Cut_marks.



Автор: ed8888 Feb 22 2018, 04:24

Цитата(Карлсон @ Feb 21 2018, 17:50) *
Не знаю с какого хотфикса, но если есть лицензия на Productivity Toolbox, кажется, то можно панелизацию в самом PCB Editor'е делать. Немного криво работает, но жить можно.
Вам нужен Manufacture->Fab panelization tool.
В принципе, там всё достаточно интуитивно понятно.

Единственное наблюдение - если хотите сделать панель не скрайбированием, а фрезеровкой по контуру, то лучше контур фрезеровки и разделительные перемычки рисовать в проекте платы, а не панели. Так проще намного получается.

А для скрайбирования, по смыслу подходит Board geometry -> Cut_marks.




Доброе утро! Посмотрел Manufacture->Fab panelization tool. Один только вопрос - не увидел слоев PasterMask Top/Bottom... ??

Автор: ed8888 Feb 22 2018, 06:02

Подскажите как редактировать длину линий? Спасибо!

Автор: Карлсон Feb 22 2018, 06:46

Там на вкладке Setup слева есть чекбокс Layers to include -> All.
Отметьте его. Должно помочь.

И что значит длину линий? Наводите на вертекс, пкм, move vertex и вперед.

Автор: ed8888 Feb 24 2018, 07:04

Цитата(Карлсон @ Feb 22 2018, 09:46) *
Там на вкладке Setup слева есть чекбокс Layers to include -> All.
Отметьте его. Должно помочь.

И что значит длину линий? Наводите на вертекс, пкм, move vertex и вперед.


к примеру изменить длину линии на шелкографии... сейчас просто удаляю и рисую новую, но так как-то не красиво

Подскажите как можно побороть следующий глюк: у пятака было сильное раскрытие маски. зашел в редактор и отредактировал пятак. при попытке обновить посадочное в проекте, в одном проекте все обновляется (само посадочное обновлено), в другом нет... так понимаю что эта сука тянет откуда-то из кэша образ (все галки обновления отмечал)?? кто сталкивался, помогите. спасибо!

Автор: Карлсон Feb 27 2018, 14:53

Я, кажется, понял, почему у вас такая хрень происходит, потому что сам сегодня на это напоролся.

Посмотрите в папке с вашим brd файлом, с тем, в котором не обновляются пятаки. Нет ли там файла, который вы пытаетесь изменить и втянуть изменения в плату. Если есть - просто удалите. Видимо, приоритет локальной папки выше приоритета библиотеки. Плюс, наверное, пути так настроены, что редактор сначала лезет в локальную папку.

У меня такая фигня произошла из-за того, что в одной и той же папке лежат и плата и панель с этой платой. Когда в первый раз делается панель, то в текущей папке создаются локальные копии всех футпринтов, которые используются в проекте.

Мда. Даже забавно получилось.

Автор: ed8888 Mar 5 2018, 04:19

Доброе утро! Подскажите если кто знает: в LPWizard 10.5 в разделе для крепежных отверстий как сохранить файл для выбранного Pad-a? Для футпринтов элементов там все понятно...
http://electronix.ru/redirect.php?https://postimages.org/

Автор: Карлсон Mar 5 2018, 08:59

Во-первых, никак. Этот мастер просто позволяет посмотреть, как правильно должен называться пад.
Во-вторых, не насилуйте труп. Поищите новый PCB Libraries.

Автор: PCBtech Mar 5 2018, 09:04

Цитата(Карлсон @ Mar 5 2018, 11:59) *
Во-первых, никак. Этот мастер просто позволяет посмотреть, как правильно должен называться пад.
Во-вторых, не насилуйте труп. Поищите новый PCB Libraries.


Или OrCAD Library Builder.

Автор: ed8888 Mar 5 2018, 16:08

Цитата(PCBtech @ Mar 5 2018, 12:04) *
Или OrCAD Library Builder.


что-то я там не помню генератор крепежных отверстий...

Русская версия Invision Power Board (http://www.invisionboard.com)
© Invision Power Services (http://www.invisionpower.com)