Версия для печати темы

Нажмите сюда для просмотра этой темы в обычном формате

Форум разработчиков электроники ELECTRONIX.ru _ Работаем с ПЛИС, области применения, выбор _ Питание ПЛИС

Автор: Styv Nov 9 2004, 15:08

Люди, поделитесь опытом.
Какие регуляторы напряжения на 1.5V Вы используете для питания ядра ПЛИСа?

Автор: Serg1976 Nov 9 2004, 15:34

Цитата(Styv @ Nov 9 2004, 07:08 PM)
Люди, поделитесь опытом.
Какие регуляторы напряжения на 1.5V Вы используете для питания ядра ПЛИСа?

SPX1117M3-1-5
активно используем.
Altera на эту тему имеет соответствующие доки с примерами регуляторов и расчетами токов. Поищите у них.

Автор: USTAS Nov 10 2004, 06:37

Большой выбор регуляторов есть у фирмы Texas Instruments.
Вот полезный Aplication Report (правдо староват, может появились новые...).
Приведены статистические данные по токопотредлению кристалов фирмы Xilinx, а также рекомендуемые регуляторы LDO, Dual-LDO, Switching Regulator.

 SLVA086.pdf ( 77.38 килобайт ) : 23740
 

Автор: aal Nov 10 2004, 06:56

Я применил ADP3050 от 5В в 1,5В. У меня младший циклон. До 1А без внешних ключей.

Автор: СергО Nov 10 2004, 07:52

Цитата(aal @ Nov 10 2004, 10:56 AM)
Я применил ADP3050 от 5В в 1,5В. У меня младший циклон. До 1А без внешних ключей.

А как с тепловыделением?

Автор: alho Nov 10 2004, 09:41

Цитата(СергО @ Nov 10 2004, 11:52 AM)
Цитата(aal @ Nov 10 2004, 10:56 AM)
Я применил ADP3050 от 5В в 1,5В. У меня младший циклон. До 1А  без внешних ключей.

А как с тепловыделением?

Это же импульсный стабилизатор - кпд под 90%.
Считай потери - макс 0,35 Вт на 1 А.

Автор: svtsvt Nov 10 2004, 13:21

В номерах 48 и 49 Xcell journal обзоры (рекламы) стабилизаторов от TI и LT для FPGA.
http://electronix.ru/redirect.php?http://www.xilinx.com/publications/xcellonline/xcell_48/xc_toc48.htm

Автор: svtsvt Nov 10 2004, 13:23

Ссылка почему-то сломалась
http://electronix.ru/redirect.php?http://www.xilinx.com/publications/xcellonline/xcell_48/xc_toc48.htm

Пардон - все правильно

Автор: aal Nov 12 2004, 05:05

>СергО
>А как с тепловыделением?

Еле тёпленькая была.... (30-40 С)

Автор: Hadgehog Nov 12 2004, 16:04

кто нибудь применял для запитки ядра ПЛИС MAX 1831? выходной ток до 3 А, раб. част 1 МГц. синхронное выпрямление.

Автор: Esquire Nov 13 2004, 09:04

Применял cool.gif . Писать знаешь куда lol.gif .

Автор: Алга Dec 29 2004, 15:36

В продолжение темы...

Texas Instruments недавно выпустил
руководство по питанию Altera, Xilinx FPGA..
Вот ссылка для Altera FPGA, CPLD...

http://electronix.ru/redirect.php?http://focus.ti.com/lit/ml/slyb113/slyb113.pdf

Для Xilinx FPGA
www.ti.com/xilinxfpga, там есть конкретная ссылка.

Texas Insruments дает также Конкретные
электрические схемы и руководсво для питания
Altera FPGA, CPLD
www.ti.com/alterafpga

Автор: sasha2005 Dec 29 2004, 18:07

Для EP1C3 потребление ядра малое и можно использовать (см. приложение).
Проверено на 100 платах мин нет, рабочая частота EP1C3 - 66мГц.

 stab.doc ( 23 килобайт ) : 1267
 

Автор: TriD Jan 10 2005, 08:50

На плате StarterKit Spartan3 используются:
LM1086CS 5V -> 3.3V 1.5A
LF25CDT 3.3V -> 2.5V
FAN1112 3.3V -> 1.2V 1A

Автор: etoja Jan 15 2005, 07:21

Можно использовать доступную дешёвую микросхему импульсного стабилизатора индустриального температурного диапазона MC33063.

Автор: Vitёk Jan 17 2005, 19:10

Посмотрите PT5405 на www.ti.com.

Автор: Гяук Jan 27 2005, 10:34

Цитата(Алга @ Dec 29 2004, 19:36)
Texas Instruments недавно выпустил
руководство по питанию Altera, Xilinx FPGA..
Вот ссылка для Altera FPGA, CPLD...

http://electronix.ru/redirect.php?http://focus.ti.com/lit/ml/slyb113/slyb113.pdf

*


excl.gif Ё-моё, а мужики-то не знають!!
Спасибо!

Автор: RobFPGA Jan 27 2005, 16:25

У меня была ситуация когда микросхемы LP3966 питающие XILINX самостоятельно выключались на 10-50 мксек. под действием помех по входной шине питания 3.3 V. При этом ток потребления был в пределах 0.5 А

Вылечилось заменой на LT1764 и TPS75601

Rob.

Автор: one_man_show Jan 27 2005, 19:59

Посмотрел указанные ссылки, ничего не нашел микромощного и с большим КПД. В схеме собирался использовать МАХ1734 на 1.5В, микромощный с КПД 90%. Кто нибудь знает (применял) что-то похожее?

Автор: lvitaly Jan 27 2005, 20:42

Цитата(one_man_show @ Jan 27 2005, 22:59)
Посмотрел указанные ссылки, ничего не нашел микромощного и с большим КПД. В схеме собирался использовать МАХ1734 на 1.5В, микромощный с КПД 90%. Кто нибудь знает (применял) что-то похожее?
*


Вполне можно посмотреть на TPS62004 - он как раз микромощный с КПД 95%.
Я много раз применял из серии TPS6200x (3.3->1.6, 3.3->1.5, 3.3->1.2), но КПД не измерял

Автор: one_man_show Jan 28 2005, 10:07

Большое спасибо за совет, очень помогло. Буду теперь пытаться 10 выводов впихнуть в футпринт на пять smile.gif

Автор: Delsian Feb 3 2005, 14:20

Я для Spartan3 поставил REG1117-ADJ с заземленным управляющим входом - выходное получается 1.25В вместо 1.2 - но в спецификации написан диапазон рабочих напряжений до 1.26В - так что даже запас остался smile.gif

Автор: berberber Feb 3 2005, 18:50

Цитата(Delsian @ Feb 3 2005, 17:20)
Я для Spartan3 поставил REG1117-ADJ с заземленным управляющим входом - выходное получается 1.25В вместо 1.2 - но в спецификации написан диапазон рабочих напряжений до 1.26В - так что даже запас остался smile.gif
*


Можно LM317 - дешево и сердито, с землей на управлении тоже 1,25.
Хотя запас в 10мВ все же маловато.
TI предлагает для Spartan3 TPS75003 - три в одном флаконе (1,2 2,5 3,3) в продаже пока не видел, будем ждать.

Автор: belena7 Mar 22 2005, 10:02

U TI recomendation kone4no ne xilible kak naprimer Spartan3 zapitat', eto mojet pozvolit' sebe tot u kogo net ograni4eniy po razmeru PCB. Vsya zamoro4ka v tom 4to VCCINT doljen ustanovit'sya v chipe ran'she 4em VCCAUX i VCCO. 4asa 4erez dva proveru est' li smblsl v takom controle nad zapuskom (budu proveryat' svoyu novuyu platu s XC50S3 i miniaturnblmi suppliers dlya vsex trex pitaniy) 8)

Автор: leevv Mar 28 2005, 19:13

Check Enpirion.com

Samye novie DC2DC.
3A dostupny seichas, 6A tolko samples.

Eto naskolko ja znaju edinstvennye v mire kto delaet DC2DC + internal inductor.
Eto deistvitelno PoL (Point of Load ) reshenie, kogda DC2DC stoit prjamo vosle FPGA.

My tolko planiruem ix poprobovat' v novom designe, poetomu realno ocenit' poka ne mogu no samples uge u nas est' givjem.

Автор: anatol1983 May 16 2005, 01:25

Конецно офтопик, но всё же. А как эти микросхемы на принципиальных схемах оформлять (ну DD или DA, как функцию этого устройства написать)

Автор: CITY May 16 2005, 02:58

Цитата(anatol1983 @ May 16 2005, 05:25)
Конецно офтопик, но всё же. А как эти микросхемы на принципиальных схемах оформлять (ну DD или DA, как функцию этого устройства написать)
*

DA...

и по поводу питания... я юзаю LT1963... если ПЛИС одна на плате... запаса по току при включении (программировании) вполне хватает... если несколько и тем более циклоны (жрут при загрузке прилично), то ставлю TPS5602...

Автор: aem Aug 20 2005, 07:50

У TI есть очень неплохая серия в миниатюрных SOT-23 корпусах - tps622xx. Если потребление не критично, можно применить только их же LDO, навеса существенно меньше.

Автор: popeye Aug 20 2005, 23:44

От LDO в последнее время отказались совсем, ставим импульсные TPS62000 (ток до 1А) и TPS62050 (большее входное напряжение), LM2651 (1,5A) и LM2655 (2,5A) тоже нравятся, а еще хочется поставить LM2734 (1A, SOT23-6, регулируемый до 0,8В).

Автор: udofun Aug 23 2005, 18:14

Кстати о токах!
Приведите примеры у кого сколько микросхемы кушают.
Я пока обходился линейными стабилизаторами до 1А 3.3 В, работал с EP20K200 (старые схемы)
Сейчас буду Цыклоны ставить (EP1C12 и EP2C8) и будут они на 3.3 вальтах IO и под 100 МГц... а вот скоко току они сьедят я пока не знаю почему и спрашиваю....

UPD
из прочитанного выше и найденного пока пришел к ваводу в использовании
микросхем:

1.2V - FUN112DX (0.8А)
1.5V - пока не определился, видимо SPX1117M3-1.5 (0.8A)
3.3V - LM1085 3.3 (3A) - для всех схемы..

вобщем пока все на линейных делаю, дешево, просто и сердито.

Автор: popeye Aug 23 2005, 22:19

Могу привести пример с питанием ядра EP1C12Q240. 100 МГц, 7644 LE, стабилизатор на 1,2А, никаких проблем. Вообще, по-моему, в первых циклонах главное - обеспечить стартовый (power-up) ток (особенно это было критично до того, как Altera пофиксила стартовый ток в своих девайсах), т.к. если верить доке "Cyclone Power Calculator", то создать такой проект, чтобы превысить этот самый power-up current, весьма проблематично. Так что если у Вас вдруг окажется EP1C12 из какой-нибудь старой партии, то по-хорошему SPX1117 не потянет (0,9А надо, хотя сейчас это значение снижено до 0,3А).

Автор: udofun Aug 29 2005, 11:28

popeye
забавно,
а сколько вобще может скушать тока ядро у цыклона?

Автор: popeye Aug 29 2005, 15:22

Цитата(udofun @ Aug 29 2005, 15:28)
popeye
забавно,
а сколько вобще может скушать тока ядро у цыклона?
*

Берем Cyclone Power Calculator, девайс EP1C12, пишем 12000 LE (плюс 1200 LE с CARRY), 12000 триггеров, 52 блока памяти (по 36 входов/выходов), 1 PLL. Ставим везде частоту 200 МГц, средний LE fanout оставляем 3,4 по умолчанию, toggle % ставим типовое значение, указанное Altera, 12,5%. Цифры, как сами понимаете, предельные и чисто теоретические, а получаем total internal Icc = 801,7 мА. Всего ничего smile.gif

Автор: dxp Aug 30 2005, 10:26

Цитата(udofun @ Aug 29 2005, 17:28)
popeye
забавно,
а сколько вобще может скушать тока ядро у цыклона?
*

Как-то баловались с EP1C6TI144-7: создали проект из офигительно длинного сдвигового регистра - почти на все триггеры (что-то окло 6 тыщ), тактовая 100 МГц, подали на вход паттерн 1010101... (с делителя - клок в два раза поделили - 50 МГц), замеряли потребление. Выходило, насколько помню (но могу и соврать, уже давно было, а результаты не записал), что-то около полампера или немогим более (но не больше 600 мА) по ядру. Т.е. логика почти вся простаивала, только триггеры на известной частоте щелкали. Зато все. smile.gif Совершнно точно помню, что микруха начинала сильно греться и буквально за несколько секунд до нее уже нельзя было дотронуться. Т.ч. когда все щелкает, хавает оно весьма заметно.

Автор: vvvvv Sep 8 2005, 16:01

Есть для Xilinx у Intersil очень конкретная страничка по потреблению. Там без всяких оговорок указано максимальное потребление по каждому напряжению. Блин пока искал, столько всего прорыл. Все эти Power подсчеты цифры то дают, вот только уверенности нет. И кругом разночтения. Во всяком случае для начала очень хороший документ.
http://electronix.ru/redirect.php?http://www.intersil.com/data/ag/ag0001.pdf Xilinx
http://electronix.ru/redirect.php?http://www.intersil.com/data/ag/ag0002.pdf Altera

Автор: svtsvt Sep 9 2005, 06:15

Для тех, кто использует не очень большие ПЛИС рекомендую TPS6205х (TI):
- 800-mA SYNCHRONOUS STEP-DOWN
- 2.7-V to 10-V Operating Input Voltage Range
- switching up to 95% Efficiency
- Adjustable Output Voltage Range From 0.7 V to 6 V
- Fixed Output Voltage Options Available in 1.5 V, 1.8 V, and 3.3 V
Маленький корпус, минимум обвязки, все легко покупается.

Автор: Black Pahan Sep 9 2005, 08:37

Я как раз TPS6205х и использую
TPS62052 1,5V core EP1C6T144I7
TPS62056 3,3V IO
оч. нравится - обвязки минимум, кпд хорошее.
а вот с доставабельностью ситуация следующая
TPS62056 купили без проблем, а TPS62052 40шт. купить пришлось - меньше не продали.

Автор: Dimonira Sep 12 2005, 12:54

PTH04000 от Texas. Это switchable dc/dc adj, так что практически любые плисовые напруги.
Пока, правда, покупаемость не очень, но найти можно.

Автор: des00 Sep 12 2005, 15:14

Цитата(svtsvt @ Sep 9 2005, 01:15)
Для тех, кто использует не очень большие ПЛИС рекомендую TPS6205х (TI):
- 800-mA SYNCHRONOUS STEP-DOWN
- 2.7-V to 10-V Operating Input Voltage Range
- switching up to 95% Efficiency
- Adjustable Output Voltage Range From 0.7 V to 6 V
- Fixed Output Voltage Options Available in 1.5 V, 1.8 V, and 3.3 V
Маленький корпус, минимум обвязки, все легко покупается.
*


хмм не подскажете а есть что нибудь подходящее, со внутреними ключами на 1.5-2А ? мне бы спаран3-400 запитать при полной заполнености на 100МГц ??

Автор: aem Sep 14 2005, 17:35

Замерил потребление для XC3S400-4TQ144. Плата работает от 10В, 3.3V делаются из него, остальные из 3.3V . По 10В потребление 60 мА всей платой. Я думаю, что 90% потребляет Xilinx.
Чтобы получит 3.3V, я поставил импульсный max1685 на 1.2V - импульсный tps62207, на 2.5V - LDO tps73625. Max1685 применил только потому, что входное напряжение больше 8V. Все корпуса маленькие - QSOP16(MAX1685) и SOT23. Частота 50MHz, схема правда пока совсем небольшая.

Автор: des00 Sep 15 2005, 04:10

Цитата(aem @ Sep 14 2005, 12:35)
Замерил потребление для XC3S400-4TQ144. Плата работает от 10В, 3.3V  делаются из него, остальные из 3.3V  . По  10В потребление 60 мА всей платой. Я думаю, что 90% потребляет Xilinx.
Чтобы получит 3.3V, я поставил импульсный max1685 на 1.2V - импульсный tps62207, на 2.5V - LDO tps73625. Max1685 применил только потому, что входное напряжение больше 8V. Все корпуса маленькие - QSOP16(MAX1685) и SOT23. Частота 50MHz, схема правда пока совсем небольшая.
*


Как то на сказку похоже smile.gif
я на сайте ксайлинска сделал прикидку, так вот при заполнености чипа на 100%
и частоте 100МГц, по 1.2В 1.3 А потребуеться.
По остальным да мало не более 300мА, но вот по Vccint

Автор: tegumay Oct 8 2005, 22:03

TPS70158

Автор: des00 Oct 10 2005, 04:47

Цитата(tegumay @ Oct 8 2005, 17:03)
TPS70158
*


Спасибо уже не нужно, я выбрал импульсниые стабилизаторы от TI smile.gif

Автор: DSIoffe Oct 10 2005, 12:16

Цитата
Спасибо уже не нужно

Ещё как нужно. Чем больше опыта на такую трепещущую тему соберётся до кучи, тем лучше.
А никто не пробовал замерить пульсации напряжения на питании ядра при использовании импульсного стабилизатора? А ещё лучше сравнить их для разных стабилизаторов?
А не замечал ли кто-нибудь влияния этих пульсаций на фазу выходных импульсов ПЛИС (если для кого-то это было критично)?

Автор: des00 Oct 10 2005, 14:11

Цитата(DSIoffe @ Oct 10 2005, 07:16)
Цитата
Спасибо уже не нужно

Ещё как нужно. Чем больше опыта на такую трепещущую тему соберётся до кучи, тем лучше.
А никто не пробовал замерить пульсации напряжения на питании ядра при использовании импульсного стабилизатора? А ещё лучше сравнить их для разных стабилизаторов?
А не замечал ли кто-нибудь влияния этих пульсаций на фазу выходных импульсов ПЛИС (если для кого-то это было критично)?
*



Вы как раз грите про мою плату smile.gif))
я заложил 3 импульсника от TI, будет хороший осцил под рукой померяю, раскажу если интересно smile.gif

Автор: vvvvv Oct 10 2005, 16:07

....Спасибо уже не нужно, я выбрал импульсниые стабилизаторы от TI ....



на каких именно если не секрет и для какой модели?

Автор: des00 Oct 11 2005, 04:06

Цитата(vvvvv @ Oct 10 2005, 11:07)
....Спасибо уже не нужно, я выбрал импульсниые стабилизаторы от TI ....



на каких именно если не секрет и для какой модели?
*

Да что тут секретного smile.gif
спартан 3 400ка в TQFP144,
3.3 В - TPS62056 (800mA)
2.5В - TPS62006 (600mA)
1.2B - TPS62040 (1.2mA)

В проекте планируеться использовать все возможности чипа, тактовая до 80МГц

Автор: DSIoffe Oct 11 2005, 06:37

Цитата
раскажу если интересно

Очень даже интересно. Подписываюсь на тему smile.gif

Автор: des00 Oct 11 2005, 09:35

1.2B - TPS62040 (1.2A) конечно smile.gif

Автор: Avlad Oct 16 2005, 14:08

Для младшего циклона EP1C3 и не слишком навернутой схемы я поставил http://electronix.ru/redirect.php?http://www.national.com/pf/LM/LM3671.html в SOT23 - достаточно компактно и эффективно получилось. Смотрел решения на TPS62xxx и ADP3050, не понравилось - больше компонентов и площадь на плате, да и дороже выходит...

А вот en5310 от enpirion - штука весьма занятная. Но ее поставить однозначно не позволят - слишком малоизвестный производитель, неизвестно что с ним будет через пару лет.

Автор: Sergey 72 Oct 28 2005, 13:17

Кто-нибудь знает, какое потребление у Stratix|| (E2S15F484) по 1.2V и 3.3V? И какие стабилизаторы поставить. Похоже там потребление не меньше 2-3А.

Автор: Sergey 72 Nov 1 2005, 13:41

Взял LT1764 регулируемый, Vmin = 1.21 I=3A.

Автор: Magnum Nov 18 2005, 05:40

Пользуем линейные LMS1587 от national 3..5А дают.

Автор: wladimiru Nov 18 2005, 10:05

Цитата(DSIoffe @ Oct 10 2005, 17:11) *
Ещё как нужно. Чем больше опыта на такую трепещущую тему соберётся до кучи, тем лучше.
А никто не пробовал замерить пульсации напряжения на питании ядра при использовании импульсного стабилизатора? А ещё лучше сравнить их для разных стабилизаторов?
А не замечал ли кто-нибудь влияния этих пульсаций на фазу выходных импульсов ПЛИС (если для кого-то это было критично)?
*

Для ПЛИС Xilinx Virtex2 XC2V40 я заложил импульсный MAX1715, два канала:3,3В и 1,5В. Источник отдельной платкой уже собрал и проверил. Как соберем основную платку с ПЛИС, проверю потребление и проч.

Автор: vetal Nov 18 2005, 22:08

Имеется задача - реализовать на плате преобразователь 3.3->1.5, диапазон токов нагрузок от 100 до 1500 мА(в пределе до 1800), плавный старт, частота не ниже 700 кГц, и по возможности не кратная 100кГц. Особые требования- в рабочем диапазоне токов нагрузок КПД не меньше 88-92%(а лучше больше). И самое главное - пощадь на плате, должна быть минимальной, осталось примерно 11х16 мм.
Пока остановился на LT3412A, из-за того, что там катушка маленькая нужна, хотя настораживают результаты проверки в фирменном SwCADII, слишком большие входные токи во время выхода на рабочую точку.
У LM2852...-1.5, в высокочастотной версии очень низкий КПД, а для НЧ версии нужна большая индуктивность.
Стоимость не основной критерий(<20$).

Автор: Xenix Nov 25 2005, 11:17

Цитата(vetal @ Nov 19 2005, 02:08) *
Имеется задача - реализовать на плате преобразователь 3.3->1.5, диапазон токов нагрузок от 100 до

Пользую для Циклонов LT1963/1963A до 1500мА, если на 3.3 больше надо, то LT1085

LT1963/ 1.5A, Low Noise, Fast Transient Response, VIN: 2.1V to 20V, VOUT(MIN) = 1.21V, VDO = 0.34V, IQ = 1mA, ISD <1µA,
LT1963A LDO DD, TO220, SOT-223, S8 Packages

LT1764 - 3A

Автор: vetal Nov 25 2005, 11:58

Цитата(Xenix @ Nov 25 2005, 14:17) *
Цитата(vetal @ Nov 19 2005, 02:08) *

Имеется задача - реализовать на плате преобразователь 3.3->1.5, диапазон токов нагрузок от 100 до

Пользую для Циклонов LT1963/1963A до 1500мА, если на 3.3 больше надо, то LT1085

LT1963/ 1.5A, Low Noise, Fast Transient Response, VIN: 2.1V to 20V, VOUT(MIN) = 1.21V, VDO = 0.34V, IQ = 1mA, ISD <1µA,
LT1963A LDO DD, TO220, SOT-223, S8 Packages

LT1764 - 3A


Я не думаю, что LDO- правильное решение для таких токов.

(3.3В-1.5В)*1.5А=2.7ВА, где рассеивать?

Автор: aem Dec 6 2005, 20:13

Не смог быстро достать импульсный tps62207 для питания ядра Spartan3
(XC3S400-4TQ144I),поставил пинсовместимый tps76301(LDO - 150mA),
на 2.5V стоит tps76301(LDO - 150mA),всё отлично работает, стабилизатры
не греются, проект - 100 MHz, 20%.

Автор: Gena2001 Dec 25 2005, 12:51

Пробовал кто-нибудь применять 142ЕН22 (LТ1085), что можете о ней сказать?

Автор: Janna Feb 16 2006, 06:56

Цитата(Hadgehog @ Nov 12 2004, 22:04) *
кто нибудь применял для запитки ядра ПЛИС MAX 1831? выходной ток до 3 А, раб. част 1 МГц. синхронное выпрямление.
В повторение этого вопроса: микросхема очень интересная, обвязки минимум, одни преимущества. В России легко доступна. Кто-нибудь имел опыт использования? Поделитесь, плиз отзывами.

Автор: 3.14 Feb 20 2006, 21:09

А что посоветутете в случае с автономным питанием от LiIon/LiPol аккумулятора. Одной батареи не хватит (LDO не хочется ставить), а если составить из двух (а далее StepDown) то как ее потом заряжать ...

Автор: Janna Feb 22 2006, 10:51

Посмотри микросхемы MAX1722-MAX1724, MAX1832-MAX1835, MAX629. Это step-up-конвертеры, работают от батареек

Автор: 3.14 Mar 11 2006, 19:45

У 3-го спартана jtag буфера питаются от 2.5В, соответствено при подключении к 3.3в сигналов избыток уходит на источник через защитный диод.
Я вот никак уяснить не могу, зачем в доке так однозначно говорят ставить "разгрузочный" резистор (в паралель к выходу источника).
Ведь в идеале выходное сопротивление источника стремится к 0 (и не в идеале все равно малое), если с линейными стабилизаторами я как то это понять могу - как бы черный ящик, то вот с DC/DC все точно должно быть в "ожуре".

Автор: 3.14 Mar 11 2006, 19:57

2 Janna
LiIon/LiPol батареи имеют рабочее напряжение 3-4.2В, поставишь stepdown - батарею будеш использовать на ~30%, поставишь tsepup - вообще сжечь все можно. Для этих целей используют boost конверторы например TPS61031.

Кстати по теме преобразователей для питания, я решил пользоваться MP2105 (Monolithic Power Systems), просто блеск, маленький корпус, частота 1МГц, отсюда маленькая индуктивность 4.7мкГк и емкости 10мкФ. Нкаких внешних диодов и транзисторов и стоит меньше 2 баксов.

Автор: rod Mar 12 2006, 09:29

Цитата(3.14 @ Mar 11 2006, 22:45) *
...зачем в доке так однозначно говорят ставить "разгрузочный" резистор (в паралель к выходу источника)....
Наверное, так: step-down-у с диодом в качестве нижнего плеча нЕкуда и нЕчем будет сбрасывать втекающий на выходе ток. В схемах с двумя ключами вроде все д.б. в порядке.

Автор: 3.14 Mar 12 2006, 10:58

Цитата(rod @ Mar 12 2006, 13:29) *
Наверное, так: step-down-у с диодом в качестве нижнего плеча нЕкуда и нЕчем будет сбрасывать втекающий на выходе ток. В схемах с двумя ключами вроде все д.б. в порядке.
Дык ведь любой DC/DC преобразователь - "следящая система", которая должна отработать это повышение напряжения на выходе.

Автор: rod Mar 12 2006, 12:40

Цитата(3.14 @ Mar 12 2006, 13:58) *
Дык ведь любой DC/DC преобразователь - "следящая система", которая должна отработать это повышение напряжения на выходе.
Видимо, можно сказать так: "отработка просада" - за счет вх. питания, а отработка превышения: где-как... Обычно - "пассивным" образом, за счет самой нагрузки. В редких/сложных - вплоть до скоростных активных "примочек", подгружающих выход. А по поводу, что "должна отрабатывать", по умолчанию пропускается "в определенных рамках". Втекающий ток на выходе...(?) довольно редко.., а обеспечить этот режим "на автомате" позволяют не все схемы.

Автор: Janna Mar 13 2006, 03:47

Цитата(3.14 @ Mar 12 2006, 01:57) *
2 Janna
LiIon/LiPol батареи имеют рабочее напряжение 3-4.2В, поставишь stepdown - батарею будеш использовать на ~30%, поставишь tsepup - вообще сжечь все можно.
Почему сжечь? Степап - это источник со стабилизацией выходного напряжения. Ничего не сожжёшь. Входное может быть от 0,8 В и до 5 В. Во всём этом интервале выходное напряжение будет гарантировано одинаковое. Как сжечь можно?
Цитата(3.14 @ Mar 12 2006, 01:57) *
Для этих целей используют boost конверторы например TPS61031.
Кстати по теме преобразователей для питания, я решил пользоваться MP2105
А можно ссылочки на доки, плиз?



Хотелось бы ещё раз повторить мой насущный (точнее не мой, но для меня насущный) вопрос:
Цитата
кто нибудь применял для запитки ядра ПЛИС MAX 1831? выходной ток до 3 А, раб. част 1 МГц. синхронное выпрямление.

Автор: 3.14 Mar 13 2006, 06:16

"Почему сжечь?"
Немного вспомним алгоритм степап преобразователя:
1) ключ замыкает индуктивность на землю, тем самым "заряжая" индуктивность
2) размыкаясь, индуктивность "выдает" противоположный по знаку импульс, в идеале бесконечной амплитуды, который через диод и заряжает выходную емкость
Теперь представим, что на входе 4.2В, а на выходе надо 3.3В. И куда тут деватся преобразователю, напряжение прямиком на выход подавать или ключ навечно коротить на землю.

TPS61031 - Texas Instruments
MP2105 - http://electronix.ru/redirect.php?http://www.monolithicpower.com/

Автор: Janna Mar 14 2006, 07:09

3.14, с вами согласен, больше не спорю

У меня другой вопрос:
Работаю с ПЛИС Альтера СТратикс2. Не могу решить, как подключить питание 1,2 В. От этого напряжения питается ядро (выводы VCC_int), аналоговая часть PLL (выводы VCC_a) и цифровая часть PLL (выводы VCC_d). У меня есть 2 источника: линейный и импульсный. Понятно, что ядро подключаем к импульсному. Понятно, что VCC_a подключаем к линейному. А к какому подключить VCC_d?
Если к импульсному, то пульсации от потребления ядра могут пролезть в напряжение VCC_a (ведь ПЛЛ одна и та же, и цепи аналогового и цифрового питания как-то могут пересекаться) и попортить фазовую чИстоту.
Если к линейному, то аналоговое напряжение может быть попорчено импульсами переключения цифровой части ПЛЛ.
Что посоветуете? Какое из двух зол будет меньшим?

И ещё вопрос: эти 2 источника 1,2 В могут стартовать в несколько разные моменты, что может вызвать сквозные токи через защитные диоды, соединяющие выводы ПЛИС с питанием и землёй. Советуют между источниками ставить диод Шоттки и включать его в направлении, соответствующем очерёдности появления выходного напряжения источников. А я, честно говоря, не знаю, какой из источников запустится раньше. Как тут быть? Кто что посоветует?

Автор: sazh Mar 14 2006, 07:45

PLLs in StratixII (В этом описании нет ничего о двух источниках питания)
The digital power and ground pins are labeled PLL<PLL number>_VCCD
and PLL<PLL number>_GND. The VCCD pin supplies the power for the
digital circuitry in the PLL. Connect these VCCD pins to the quietest
digital supply on the board. In most systems, this is the digital 1.2-V
supply supplied to the device’s VCCINT pins. Connect the VCCD pins to a
power supply even if you do not use the PLL. When connecting the VCCD
pins to VCCINT, you do not need any filtering or isolation. You can connect
the GND pins directly to the same ground plane as the device’s digital
ground. See Figure 1–36.
Разве недостаточно одного импульсного, например TPS54610.
Скачиваете с сайта TI программу расчета пассивных элементов
для необходимых уровней напряжения SWIFT DESIGNER 3.50
Качественная рекомендованная в даташите разводка должна решить все проблемы.
А если Вам что то советуют, должны быть ссылки на документы.

Автор: DSIoffe Mar 14 2006, 07:46

to 3.14

Цитата
MP2105

А где это покупать? Что-то в efind.ru только один ответ нашёлся. В качестве дистрибьютора в России заявлен Прософт, но там на сайте пара строчек. У Вас есть опыт доставания? Поделитесь, пожалуйста.

Автор: Janna Mar 14 2006, 07:57

Спасибо, что ткнули носом в хэндбук. Он такой большой, что я в нём заблудился.
А источников наши спецы всё-таки рекомендуют 2, для подстраховки, чтобы минимизировать фазовые шумы ПЛЛ.
Скажите, а что программа SWIFT DESIGNER 3.50 позволит рассчитать? Ну для примера.

Автор: 3.14 Mar 14 2006, 08:08

2 DSIoffe
было на http://electronix.ru/redirect.php?http://www.terraelectronica.ru/, но уже пару дней магазин "лежит".

2 Janna
Извините, я ничего Вам посоветовать не могу.

Автор: sazh Mar 14 2006, 08:11

Вы задаете необходимые Вам параметры источника питания, программа рекомендует конкретный тип источника, его характеристики, требуемые для этого элементы конкретных фирм изготовителей, рекомендации по разводке. Я собираюсь использовать TPS54610 для 1.2в, 2.5в, 3.3в.(На большие токи выбрал импульсный 6А. Наверно нужно учитывать бросок тока по включению питания)
В даташите 1.2В идет на pll_vcca через ферритовую бусину. А pll_vccd прямо сидит на vccint. Я не вижу смысла в двух источниках 1.2В.
Тем более этих рекомендаций у Альтера я не нашел.

Автор: 3.14 Mar 14 2006, 08:28

2 sazh
А эта софтина у нас на ФТП водится (каюсь, лень искать smile.gif)?

Автор: sazh Mar 14 2006, 08:38

Эта совтина легко ищется по поиску на TI. 14.5метров, свободна для скачивания любым пользователем.
Хоть она и схему подключения сразу выдает, желательно все же даташит на рекомендованный источник почитать.

Автор: sazh Mar 15 2006, 11:15

To Janna
Посмотрите schem_stratixii_dsp_bd_916.pdf как пример
1.2 вольта один источник на ltc1778. vcc_pll5.6,vcca_pll, vccd_pll идут с основных источников через индуктивность.

Автор: Krys Mar 16 2006, 04:05

Спасибо за советы, но по поводу питания от импульсника наши инженеры говорят, что на этом форуме люди понятия не имеют о минимизации фазовых шумов ПЛЛ, т.к. это никому не требовалось. Можете оспорить, конечно, привести цифры, чего удалось достичь и т.д.

Автор: sazh Mar 16 2006, 06:59

Говорят, что кур доят. Приведите эти результаты, дайте ссылки на документацию (или эту комплектацию в Вашей фирме делают?). Я хочу знать, ради чего должен вкладываться в линейные источники и бороться с тепловыделением.

Автор: Krys Mar 16 2006, 09:13

Я не большой специалист в шумах ПЛЛ, но спорить с нашими инженерами, кто работает с модемами, не стал. Они на этом собаку съели и всё прощупали на своей шкуре. Если вам не требуется минимизировать фазовые шумы до полного предела, то вам и необязательно ставить линейный источник. Но наши инженеры утверждают, что пульсации на уровне минус 60 дБ по питанию сказываются на фазовых шумах ПЛЛ, эти пульсации не видно на осциллографе, кажется, что всё до предела чисто. Ещё они говорят, что просто те, кто с этим спорят, никогда не упирались в подобную проблему, перед ними не стояла задача минимизации фазовых шумов ПЛЛ до полного предела. У нас задача этого требует: модем с QAM256.
Никаких ссылок на документацию я дать не могу, т.к. это только наш опыт.
Ну а чтобы не бороться с тепловыделением, можно поставить LDO стабилизатор, там тепловыделением можно пренебречь.

Автор: sazh Mar 16 2006, 20:09

По мнению этих инженеров - фирма Альтера и есть полная тупица. 300 кГц они испугались. А кварцевых генераторов на десятки мегагерц не боятся.

Автор: DSIoffe Mar 17 2006, 07:45

А всё-таки есть применения, где фазовые шумы очень мешают. И даже не в PLL. Например, делали тактовый генератор для управления ПЗС на MAX7000, без всяких PLL, естественно. И получали регулярные вертикальные столбцы на изображении. Пришлось часть генератора вынести из ПЛИС в отдельную логику.
Другое дело, что неуважительный отзыв о коллегах не делает чести отзывающемуся. Впрочем, это уже не по теме.

Автор: sazh Mar 17 2006, 08:56

Вполне допускаю, что меня пора уже гнать из этой конференции. Krus здесь уж точно не причем. Все это базируется на высказываниях Walt Kester фирма Analog Devicer/ Применительно к аналогово цифровой технике. На любом семинаре любимая тема AD RMS Jitter of typical logic Gates. У act00 0.99ps. А у MC100EL16 PECL аж 0.7 ps. Круто. По отдельности все прекрасно. При системном подходе сплошные заморочки. Несчислимое количество вторичных источников питания, ФАПЧ как в плисах, так и в DSP. А системных частот на плате и не перечесть. Да еще не дай бог ЦАП, АЦП. И начинается день в деревне. Единого подхода нет.
А чем больше знаешь, тем больше вопросов возникает.
А этот совет о использовании диодов шотки. Ведь это из того же editor Костера применительно к АЦП. Здесь принципиально появляется понятие цифровой и аналоговой заземляющих ПОВЕРХНОСТЯХ. Эти же диоды между землями ставятся. И если на плисине ввести понятие аналоговой полигон, то и кварцевые резонаторы переходят в разряд аналоговых. И понеслась концепция многоточечного соединения. А откроешь откровения другого дяди, и найдешь иногда совсем другие рекомендация.
А вывод простой. Меня интересуют документированные рекомендации при использовании той или иной элементной базы. Опыт инженеров при таких реализациях. А безликий LDO мало информативен.

Автор: vladec Mar 31 2006, 06:13

По моим наблюдениям от питания импульсниками ядер никакого вреда нет. Для малых мощностей (ток до 250 мА) удобно использовать TPS60500 от Texas. Это емкостная помпа, не требующая силовой мндуктивности. Выходное напряжение устанавливается двумя резисторами.

Автор: DVS Apr 24 2006, 08:24

Цитата(USTAS @ Nov 10 2004, 12:37) *
Большой выбор регуляторов есть у фирмы Texas Instruments.
Вот полезный Aplication Report (правдо староват, может появились новые...).
Приведены статистические данные по токопотредлению кристалов фирмы Xilinx, а также рекомендуемые регуляторы LDO, Dual-LDO, Switching Regulator.


ALEX to USTAS...

спасибо за информацию.

Автор: Koluntaev Apr 27 2006, 04:24

Использовали TPS54313/TPS54314 (3А) от Texas Instruments для питания XC2V1500+XC2V500. Потребление по 1,5В около 1,2-1,5А. Индуктивность 6,2 мкГн, частота около 700 кГц, конденсатор 470 мкФ Ultra Low ESR от Epcos. Имеем пульсации в районе 40 мВ, все замечательно работает.

Автор: Pruga May 24 2006, 19:04

Для питания Spartan-3 Texas Instrument активно рекомендует использовать TPS75003. Посмотрел, ничего, хорошая микросхемка формирует три напряжения, обвязки немного. Может кто-нибудь подсказать мне есть ли похожие микросхемки на входное напряжение 12В??

Автор: elantra Jun 22 2006, 15:53

А реально импульсники хорошо дружат с PLL процессора? Ведь тот-же интел рекомндует питать PLL через фильтр, А импульсники шумят в ооочень широком диапазоне.

Автор: Koluntaev Jun 23 2006, 04:09

Цитата(elantra @ Jun 22 2006, 19:53) *
А реально импульсники хорошо дружат с PLL процессора? Ведь тот-же интел рекомндует питать PLL через фильтр, А импульсники шумят в ооочень широком диапазоне.

Да вроде нормально дружат. Питали BF533 от техасовского импульсника, кварец на 11 МГц, частота ядра 11x45=495МГц. Глюков пока не обнаружено, заводится стабильно.

Автор: dxp Jun 23 2006, 04:12

Цитата(elantra @ Jun 22 2006, 22:53) *
А реально импульсники хорошо дружат с PLL процессора? Ведь тот-же интел рекомндует питать PLL через фильтр, А импульсники шумят в ооочень широком диапазоне.

Использовал PLL в Cyclone при питании от DC/DC конвертера (1.5 В) в обоих вариантах: сейчас стоит фильтр из ферритовой бусины и конденсаторов, раньше и без бусины использовал, все стабильно работало, никаких вопросов не было. Плата была 4-слойная, внутренние слои - земля и питание.

Автор: maegg Jun 29 2006, 06:20

[/quote]
Использовал PLL в Cyclone при питании от DC/DC конвертера (1.5 В) в обоих вариантах: сейчас стоит фильтр из ферритовой бусины и конденсаторов, раньше и без бусины использовал, все стабильно работало, никаких вопросов не было. Плата была 4-слойная, внутренние слои - земля и питание.
[/quote]
Что работает, понятно. А вот есть ли оценка уровеня фазового шума в этих условиях?
При питании от аналогового стабилизатора с фильтрами размах дрожаний получается не больше 0.1нс. А похоже еще меньше, просто померяно прибором с таким разрешением.

Автор: dinam Jul 11 2006, 09:20

Цитата(dxp @ Jun 23 2006, 11:12) *
Использовал PLL в Cyclone при питании от DC/DC конвертера (1.5 В) в обоих вариантах: сейчас стоит фильтр из ферритовой бусины и конденсаторов, раньше и без бусины использовал, все стабильно работало, никаких вопросов не было. Плата была 4-слойная, внутренние слои - земля и питание.

Перерыл весь сайт Altera и не смог найти какие они рекомендуют применять ферритовые бусинки для питания PLL в Cyclone II. sad.gif Не подскажете откуда брали номинал?

Автор: Krys Jul 12 2006, 03:42

Я тоже не знаю, что конкретно рекомендуют, но у нас на предприятии повсюду используются такие: BLM41P750SPT

Автор: maksya Jul 13 2006, 12:44

Цитата(dinam @ Jul 11 2006, 13:20) *
Перерыл весь сайт Altera и не смог найти какие они рекомендуют применять ферритовые бусинки для питания PLL в Cyclone II. sad.gif Не подскажете откуда брали номинал?

У Техасских Хозтоваров в рекомендациях к питанию PLL (точнее в схеме) стоят BLM21PG331SN1B от muRata (330-Ohm, 1500-mA).

Автор: Нейтрон Aug 12 2006, 18:33

Люди, подскажите стабилизатор на 1.2V( -40 +85 и <= 3$)

Автор: Adlex Aug 13 2006, 13:50

Если имеется в виду LDO, то NCP5661, NCV5661 от ON SEmi (Motorola)

Автор: Raimis Aug 15 2006, 15:03

Цитата(Нейтрон @ Aug 12 2006, 21:33) *
Люди, подскажите стабилизатор на 1.2V( -40 +85 и <= 3$)


Для любителей LDO ( пока проект небольшой - я причисляюсь : ) дешевые тайванские аналоги:
TS1086-3.3 - 3.3В
TS1086-2.5 - 2.5В

и дороже:
LP3962-ADJ - 1.2В

Пока проблем ненаблюдается, но работаю с макетом. Если при тираже возникнут - напишу.

Автор: Adlex Aug 15 2006, 15:36

А температурный диапазон у Тайваньских какой?

Автор: Raimis Aug 15 2006, 19:09

Цитата(Adlex @ Aug 15 2006, 18:36) *
А температурный диапазон у Тайваньских какой?


Тайванские это:
TS1086-3.3
TS1086-2.5
они пишут:
-----
Operating Junction Temperature Range TJ -25 ~ +150 oC
Storage Temperature Range TSTG -65 ~ +150 oC

LP3965-ADJ от National:
------
−40°C to +125°C junction temperature range
Storage Temperature Range −65°C to +150°C

Автор: Adlex Aug 15 2006, 19:24

Cудя по всему они скопировали LM1086 от NSC

Автор: Нейтрон Aug 30 2006, 07:34

На схему поставил TPS75003. Вроде купить можно, но пока еще не пробовал. Есть еще проблема с низкоомными резисторами.

Автор: Lexey Aug 31 2006, 19:41

Обратите внимание на новинку от АD: ADP2107 до 2A, встроенное синхронное выпрямление, PWM/PFM корпс 4x4mm, минимум обвески, 1.2МГц. Придраться не к чему. Выглядит очень привлекательно. Подобных характеристик в этой весовой категории я еще не видел.
Да и с доступностью новейших продуктов AD в любых количествах у нас проблем обычно не возникает.

Цитата(Krys @ Mar 16 2006, 11:13) *
Я не большой специалист в шумах ПЛЛ, но спорить с нашими инженерами, кто работает с модемами, не стал. Они на этом собаку съели и всё прощупали на своей шкуре. Если вам не требуется минимизировать фазовые шумы до полного предела, то вам и необязательно ставить линейный источник. Но наши инженеры утверждают, что пульсации на уровне минус 60 дБ по питанию сказываются на фазовых шумах ПЛЛ, эти пульсации не видно на осциллографе, кажется, что всё до предела чисто. Ещё они говорят, что просто те, кто с этим спорят, никогда не упирались в подобную проблему, перед ними не стояла задача минимизации фазовых шумов ПЛЛ до полного предела. У нас задача этого требует: модем с QAM256.


Любые пульсации импульсного источника можно отфильтровать до необходимого разумно оправданного приемлемого уровня, и эта фильтрация при токах ядра более 500mA обычно обходится дешевле чем использование LDO. Тут также нужно учесть, что источник питания - не единственный источник пульсаций на шинах питания плис. Сама плис тоже является источником пульсаций, амплитуда которых обычно одного порядка с амплитудой пульсаций от импульсного источника питания. Вы конечно можете задавить эти пульсации большими емкостями на ножках ПЛИС, но на кристалле они останутся, и от того используете вы импульсный источник или LDO, они не зависят. Если уж очень хочется, cобственные пульсации матрицы можно уменьшить, например, за счет использования ее ресурсов на 5% (только ради одного PLL). При этом берется минимальная плис с PLL, которая при ее использовании примерно на 5% обычно потребляет по ядру не более 50mA. Кто ж спорит что тут разумно будет поставить LDO?!

Другое дело, если ваши разработчики вообще "плавают" в вопросах борьбы с электромагнитными наводками, не в состоянии рассчитать цепи фильтрации пульсаций и правильно развести их на плате. Тогда эта "фобия" по отношению к импульсным источникам может быть оправдана, и даже при токе ядра 2A разумно будет поставить LDO. Но LDO поставить тоже уметь надо, и потенциальных "граблей" с ним в плане минимизации пульсаций "до полного предела" может оказаться не меньше чем с дополнительными пассивными цепями фильтрации на выходе импульсного стабилизатора.

Если честно, я и сам раньше склонялся к использованию LDO по указанной выше причине, но потом детально во всем разобрался, и отказался от этой идеи.

И еще: Когда у меня задача требует фазовые шумы PLL гораздо менее чем 300 ps (максимальный фазовый шум плисовского PLL по даташитам), я не полагаюсь на этот плисовский PLL, а использую для этого адекватную элементную базу. Достигаю шумов около 10ps без особых проблем, и по цене это выходит не дороже минимальной ПЛИС, имеющей PLL.

ПЛИС я обычно использую по прямому назначению. Собак есть и делать прочие опасные эксперименты на собственной шкуре я не люблю, вместо этого предпочитаю лишний раз подумать cool.gif

Автор: Dopler Sep 9 2006, 06:10

Использовал
MIC49300 - от 0.9В до 1.5В 3А LDO. Корпус у нее довольно большой, но тепло отводится неплохо. Использовал для питания ядра Cyclone2 EP2C8, понижал от 3.3В.
Есть еще модификация этой микросхемы MIC49150 на 1.5А.

Как оказалось, купить ее довольно просто.

Автор: Survivor Sep 21 2006, 18:46

Цитата(Serg1976 @ Nov 9 2004, 19:34) *
Цитата(Styv @ Nov 9 2004, 07:08 PM)
Люди, поделитесь опытом.
Какие регуляторы напряжения на 1.5V Вы используете для питания ядра ПЛИСа?

SPX1117M3-1-5
активно используем.
Altera на эту тему имеет соответствующие доки с примерами регуляторов и расчетами токов. Поищите у них.

А где Вы покупаете SPX1117M3-1-5 ? Это же 2500 штук упаковка!
Не продаст ли кто в Москве пару штук по тройной цене?

Автор: PhilipS Sep 26 2006, 07:56

А кто нибудь использовал микромодули LTM4600 от LinearTechnology ?
Какие впечатления?
Т.К. опыта разработки импульсников нет а сроки очень "давили" а стоимость значения не имела то поставил их 3 штуки для всех напряжений Spartan III ( 1.2 ядро, 2.5 VccAUX и 3.3 VccIO ). Как они "чувствуют" себя на малых токах ?

Автор: dinam Sep 26 2006, 08:12

to Survivor Проконсультируйтись в Гамме http://electronix.ru/redirect.php?http://www.icgamma.ru/, т.к. насколько мне известно только они работаю напрямую с Sipex.

Автор: slawikg Oct 3 2006, 15:03

Код
А где Вы покупаете SPX1117M3-1-5 ? Это же 2500 штук упаковка!
Не продаст ли кто в Москве пару штук по тройной цене?


SPX1117R- L-1,5 это в корпусах TO252 тоже самое
NCP1117DT15, LM1117DT- 1,5
Мы берём в http://electronix.ru/redirect.php?http://www.fulcrum.ru/Stock/StockSearch.htm
Там же и в других корпусах (как у вас SOT223).

Автор: Tornado-Alex Dec 8 2006, 14:07

а я в последнем проекте использовал IR3624MPBF в паре с IR8910 - ломовая вещь! a14.gif
особенно если учесть что потреблёшь по сетке напряжений от 2А до 3,5А
Мосфеты на "полном газу" не греются вообще, сама микра градусов до 40 разогревается.
Точность просто идеальная при изменении токов в диапазоне 10% - 90%.
Вобщем рекомендую попробовать.
У IR также есть и 2х канальные микры, но они мне меньше подходят в данной задаче.

Автор: SergeyX Jan 30 2007, 12:03

В ситуациях где требовался LDO использовал от LP3961 National - имеет довольно широкий ассортимент выходных напряжений и корпусов....а в тех случайх когда не обойись без имп. источников TPS54310, TPS54610, TPS54810 и т.д...никогда с ними не было проблем

Автор: 3.14 Feb 16 2007, 11:44

Ребята, кто-нибудь нашел широко распространненное LDO для питания ядра spartan3?
LM317 ставить опасно, на выходе может и 1.3В быть (допустимый максимум спартана 1,26), в макет еще можно, а в мало-мальскую серию - безобразие.
Пока все-таки останавился на FAN1112SX, только заказывать их в маусере прийдется sad.gif

Автор: assa Feb 16 2007, 16:50

help.gif Решил применить LM2734Z для питания ядер проца и FPGA (1.2в). включение стандартное, рекомендованое в даташите. входное питание 5в. чем обоснован ыбор- габаритами, кпд и высокой частотой преобразования. запустить не могу. работает более-менее только при низких входных напряжениях ~3.3В причем кпд получается ~48-50% (испытывал при разных нагрузках. в основном на 150мА). при более высоких входных напряжениях- начинаются проблемы. на ХХ или малой нагрузке подскакивает потребление по первичному питанию, шим начинает работать неустойчиво- вплоть до полного срыва. под нагрузкой 150мА тоже самое- слишком высокое потребление низкий КПД, срыв шим.
варьировал индуктивность (номинал/тип) - не помогает никак.
варьировал схему подачи boost. сделал внешнюю подачу. не заметил явного улучшения.
кто сталкивался? схему могу предоставить.

 _POWER_.pdf ( 41.11 килобайт ) : 210
 

Автор: assa Feb 16 2007, 17:07

Цитата(3.14 @ Feb 16 2007, 11:44) *
Ребята, кто-нибудь нашел широко распространненное LDO для питания ядра spartan3?
LM317 ставить опасно, на выходе может и 1.3В быть (допустимый максимум спартана 1,26), в макет еще можно, а в мало-мальскую серию - безобразие.
Пока все-таки останавился на FAN1112SX, только заказывать их в маусере прийдется sad.gif

посмотри LT3021
■ VIN Range: 0.9V to 10V
■ Dropout Voltage: 160mV Typical
■ Output Current: 500mA
■ Adjustable Output (VREF = VOUT(MIN) = 200mV)
■ Fixed Output Voltages: 1.2V, 1.5V, 1.8V
■ Stable with Low ESR, Ceramic Output Capacitors
(3.3µF Minimum)
■ 16-Pin DFN (5mm × 5mm) and 8-Lead
SO Packages
есть LP3881. тоже интересная весч. поможет из 1,4В питания ядра DSP получить 1,2В питание ядра FPGA/

сомневаюсь в их хорошей доставаемости. но, нам вроде бы доставали без особых напрягов.

Автор: Prusak Feb 17 2007, 22:21

Цитата(3.14 @ Feb 16 2007, 11:44) *
Ребята, кто-нибудь нашел широко распространненное LDO для питания ядра spartan3?
LM317 ставить опасно, на выходе может и 1.3В быть (допустимый максимум спартана 1,26), в макет еще можно, а в мало-мальскую серию - безобразие.
Пока все-таки останавился на FAN1112SX, только заказывать их в маусере прийдется sad.gif
Для ядра Спартанца 3 (XC3S400) в проекте был использован LT1963AEQ, выдаваемое напряжение было 1,20-1,21В на 4 платах. Проводил тестирование: полностью заполнял логикой и триггерами кристалл и запускал на 320МГц при этом цепи ядра потреблялся ток 950мА, проблем не было. Платы прошли испытания в термокамере: -60-+85С (в нормальном режиме рыботы). С учетом всего выше сказанного о данной микросхеме у меня сложилось хорошее впечатление.
P.S. Насчет Ижевска не знаю, в Москве доставаемость хорошая.

Автор: assa Feb 18 2007, 11:39

Цитата
выдаваемое напряжение было 1,20-1,21В

это нижнее значение выходного напряжения для данного линейника. я бы поостерегся. кроме того у линейника большаой дропаут 250mV@1A. но не в этом суть. я крайне недолюбливаю применение линейников для питания цифровых у-в при потреблениях порядка амперов. почему? пример питание ядра 1.2V@1А при помощи линейника: допустим получаем 1.2V из 3,3V на линейнике выделится 1A*2.1V=2W. то есть сеем в тепло в 2раза больше чем потребляем. не многовато ли? а получать из 5V вообще стремно. придется сеять почти 4W. поэтому и корпус приходится брать большим-чтобы рассеять. дополнительно надо предпринимать меры по рассеянию тепла по плате.... для портативных у-в и питания от батарей- плохой подход.
применял для питания ядер LTC3412. импульсный преобразователь. в принципе понравилось. но на больших частотах преобразования (3MHz) надо быть аккуратнее с топологией- оч чувствителен.

Автор: assa Feb 22 2007, 18:31

Цитата
help.gif Решил применить LM2734Z для питания ядер проца и FPGA (1.2в).

Цитата
запустить не могу.

проблему нашел и решил. помощь не требуется уже.

Автор: Victor Mar 1 2007, 14:44

Вопрос знатокам - сколько кушает ампер 6000 виртекс при максимальной рабочей загрузке кристалла? Возникали ли у вас проблемы из за недостаточного питания ПЛИС?
http://electronix.ru/redirect.php?http://www.xilinx.com/products/design_resources/power_central/ в ссылках на партнеров, некоторые (Intersil) пишут о потреблении в более чем 80(!) ампер для xc2vp6000. Но если я правильно понимаю, это в случае работы _всей_ начинки плис на максимальной теоретичесой частоте при одновременном переключении _всех_ регистров на каждом такте... Каковы же нормальные цифры? И как можно диагностировать нехватку питания на уже готовой плате?.. Спасибо.

Автор: kotapes Mar 21 2007, 09:34

Поделитесь, кто как считает потребляемую мощность будущего проекта?
В частности решил прикинуть потребление 4 виртекса, ручками посчитал, ерунда какая-то, в инете на сайте ксайлинкса лежит пдф-ка для расчета, так она падла работать отказывается sad.gif

Автор: ogr Mar 24 2007, 13:53

На сайте Xilinx-а есть xls-файлы для разных семейств, в которых с помощью которых можно расчитать автоматически все токи в зависимостиот частоты, заполнености и т.д., для четвертого виртекса такой док называется Virtex4_XPE_8_2.xls.
У меня такой вопрос, как лучше организовать питание ядра virtex4 (1.2В), если входное напряжение 5В? Достатночно ли поставить только импульсный стабилизатор или из-за возможных шумов лучше сделать цепочку из импульсника (с понижением, например, до 2 В) и линейного стабилизатора уже на 1.2В? Кто как делает?

Автор: Artem_Petrik Mar 25 2007, 21:42

Цитата
Вопрос знатокам - сколько кушает ампер 6000 виртекс при максимальной рабочей загрузке кристалла? Возникали ли у вас проблемы из за недостаточного питания ПЛИС?
вот здесь в ссылках на партнеров, некоторые (Intersil) пишут о потреблении в более чем 80(!) ампер для xc2vp6000. Но если я правильно понимаю, это в случае работы _всей_ начинки плис на максимальной теоретичесой частоте при одновременном переключении _всех_ регистров на каждом такте... Каковы же нормальные цифры? И как можно диагностировать нехватку питания на уже готовой плате?.. Спасибо.


"нормальные" цифры приведены в том же pdf-нике, но в appendix 2. Так для той же XC2V60001 указано ICCINT @100MHz (mA) = 14528. Это конечно поменьше чем 80А, но я все равно в шоке blink.gif
Вообще конечно нужно считать потребление конкретно под свой проект при помощи exel-евских считалок. А ставить с запасом.
Подумать только 80А (да хоть и 14) по полутора вольтам - этож кулер на микросхему ставить придется!!!!

Автор: Victor Mar 26 2007, 09:15

Цитата(Artem_Petrik @ Mar 25 2007, 21:42) *
"нормальные" цифры приведены в том же pdf-нике, но в appendix 2. Так для той же XC2V60001 указано ICCINT @100MHz (mA) = 14528. Это конечно поменьше чем 80А, но я все равно в шоке blink.gif
Вообще конечно нужно считать потребление конкретно под свой проект при помощи exel-евских считалок. А ставить с запасом.
Подумать только 80А (да хоть и 14) по полутора вольтам - этож кулер на микросхему ставить придется!!!!


Да, приведены, видел. Да кулер уже стоит, иначе однозначно перегрев... Основной вопрос - как диагностировать нехватку питания в уже готовом проекте?

Автор: Пушкин Mar 26 2007, 10:17

Цитата(ogr @ Mar 24 2007, 14:53) *
На сайте Xilinx-а есть xls-файлы для разных семейств, в которых с помощью которых можно расчитать автоматически все токи в зависимостиот частоты, заполнености и т.д., для четвертого виртекса такой док называется Virtex4_XPE_8_2.xls.
У меня такой вопрос, как лучше организовать питание ядра virtex4 (1.2В), если входное напряжение 5В? Достатночно ли поставить только импульсный стабилизатор или из-за возможных шумов лучше сделать цепочку из импульсника (с понижением, например, до 2 В) и линейного стабилизатора уже на 1.2В? Кто как делает?

Достаточно импульсника, вы представть сколько вы будете сеять тепла на линейнике.Особенно если как вы написали с 2 до 1.2.Больше третий на линейнике падать будет.

Автор: ogr Mar 27 2007, 13:06

Спасибо, разобрался!

Автор: Artem_Petrik Mar 27 2007, 19:50

Цитата
Да, приведены, видел. Да кулер уже стоит, иначе однозначно перегрев... Основной вопрос - как диагностировать нехватку питания в уже готовом проекте?


Насколько я понимаю, нехватка питания приведет к срабатыванию токовой защиты в источнике питания, и, как следствие, проседанию питающего напряжения ниже минимально допустимого уровня. Если защита построена таким образом, что источник при аварии автоматически вырубается на некоторое время, после чего опять включается, то заметить такое наверно не составляет труда. Если же происходит просто ограничение тока, то наиболее логичным мне представляется использование супервизора питания (еще иногда называют ресет генераторами) на нужное напряжение. Импульсы, выдаваемые супервизором зарегестрировать несложно, благо гарантируется, что ширина импульса - не менее определенной, сравнительно большой величины. Напаяться на уже готовую плату поближе к FPGA короткими проводками - тоже не должно составить проблем. Отсутствие совсем коротких просадок по идее должны гарантировать емкостя по питанию, а пару микросекунд обнаружить - не проблема. К сожалению не уверен, что можно найти супервизор для нужного напряжения - они сволочи в основном для микроконтроллеров, там напруги повыше. В крайнем случае можно сварганить нечто подобное на дискретке.

Автор: bsp Apr 3 2007, 13:05

Подскажите, пожалуйста, где купить в небольших количествах следующие LDO: FAN1112S ( FAIRCHILD ) или LD1117AS12TR ( ST ). Всюду предлагают прикупить 2500 шт., а нужно 10 шт. Готов уже сотню купить ( потом разойдутся ). Использую их для получения питания ядра 1,2В ( до 300 мА ) из 3,3В. Может есть другие варианты. Правда, плата уже разведена, но если такие проблемы с покупкой. допустимо переразвести этот узел. Только вот места маловато, хватит только на корпус покрупнее, импульсный стабилизатор уже не разместится наверное.

Автор: Пушкин Apr 4 2007, 00:47

Цитата(bsp @ Apr 3 2007, 14:05) *
Подскажите, пожалуйста, где купить в небольших количествах следующие LDO: FAN1112S ( FAIRCHILD ) или LD1117AS12TR ( ST ). Всюду предлагают прикупить 2500 шт., а нужно 10 шт. Готов уже сотню купить ( потом разойдутся ). Использую их для получения питания ядра 1,2В ( до 300 мА ) из 3,3В. Может есть другие варианты. Правда, плата уже разведена, но если такие проблемы с покупкой. допустимо переразвести этот узел. Только вот места маловато, хватит только на корпус покрупнее, импульсный стабилизатор уже не разместится наверное.


Попробуйте напмример tps54312(или tps62003 послабее, до 600ма) импульсник, к нему только индуктивность надо. Или что-то аналогичное.

Автор: Raimis Apr 6 2007, 21:19

Цитата(bsp @ Apr 3 2007, 13:05) *
Подскажите, пожалуйста, где купить в небольших количествах следующие LDO: FAN1112S ( FAIRCHILD ) или LD1117AS12TR ( ST ). Всюду предлагают прикупить 2500 шт., а нужно 10 шт. Готов уже сотню купить ( потом разойдутся ). Использую их для получения питания ядра 1,2В ( до 300 мА ) из 3,3В. Может есть другие варианты...

была такая проблема. Для себя решил - поставил LP3965ES-ADJ (National). Минимальное напряжение которое выдает чип - 1.216В. Стенд работает (спартан3). Можно попробовать smile.gif

Автор: bsp Apr 9 2007, 11:52

Для пробных образцов решение вроде нашел. Берем LM1117 с регулируемым выходным напряжением и подключаем вывод adj на землю. Судя по приводимым в datasheets схемам включения это возможно. Корпус по цоколевке замечательно совпадает с уже разведенным. На плате есть и подгружающий резистор, в данном случае это может пригодиться. Главный недостаток - получаемое напряжение ( типовое ) равно 1,25В. Это дает уже плюс 4 % погрешности, а ведь есть еще и разбросы, влияние температуры, нагрузки и входного напряжения. В общем, для LM1117 фирмы National при комнатной температуре в 5% можно уложиться, в полном диапазоне температур получится плюс 6,5%. Для стенда подойдет, насчет серийного производства надо еще подумать.

Автор: Bender Apr 9 2007, 15:47

Посмотрите на SPX3819. Есть весь ряд напряжений - 1.2, 1.8, 2.5, 3.3, индустриальный диапазон.
Плюс возможность сделать 2.5 из 3.3. Корпус SOT23-5, ток до 500 мА, до 0.25Вт

Автор: 3.14 May 8 2007, 10:50

http://electronix.ru/redirect.php?http://terraelectronica.ru/news_postup.php?ID=1095
Я просто в шоке smile.gif

Автор: Tornado-Alex May 8 2007, 11:34

Цитата(3.14 @ May 8 2007, 14:50) *
Я просто в шоке smile.gif


и о чём теперь спрашивается мечтать? smile.gif

Автор: assa May 8 2007, 11:44

Цитата(Tornado-Alex @ May 8 2007, 14:34) *
и о чём теперь спрашивается мечтать? smile.gif

о диапазоне входных напряжений вольт до 36 и гальванической развязке в том же корпусе smile.gif

Автор: Skywolf Jun 17 2007, 23:30

Цитата(sazh @ Mar 14 2006, 12:11) *
Вы задаете необходимые Вам параметры источника питания, программа рекомендует конкретный тип источника, его характеристики, требуемые для этого элементы конкретных фирм изготовителей, рекомендации по разводке. Я собираюсь использовать TPS54610 для 1.2в, 2.5в, 3.3в.(На большие токи выбрал импульсный 6А. Наверно нужно учитывать бросок тока по включению питания)
В даташите 1.2В идет на pll_vcca через ферритовую бусину. А pll_vccd прямо сидит на vccint. Я не вижу смысла в двух источниках 1.2В.
Тем более этих рекомендаций у Альтера я не нашел.


у меня Cyclone2 и PLL тоже используется, мне вот не понятно как организовать эту самую ферритовую бусину на ПП. Или надо ее на кусок проволки медной и и в плату или как и самое главное где купить 05.gif

Автор: dinam Jun 18 2007, 01:27

Цитата(Skywolf @ Jun 18 2007, 06:30) *
у меня Cyclone2 и PLL тоже используется, мне вот не понятно как организовать эту самую ферритовую бусину на ПП. Или надо ее на кусок проволки медной и и в плату или как и самое главное где купить 05.gif
Ферритовая бусина - Ferrite Bead smile.gif . Я применил BLM21AG471SN1 by Murata. http://electronix.ru/redirect.php?http://www.murata.com/catalog/c31e17.pdf Купить можно во многих фирмах, мы брали кажется в Симметроне.

Автор: Skywolf Jun 18 2007, 05:39

Цитата(dinam @ Jun 18 2007, 05:27) *
Ферритовая бусина - Ferrite Bead smile.gif . Я применил BLM21AG471SN1 by Murata. http://electronix.ru/redirect.php?http://www.murata.com/catalog/c31e17.pdf Купить можно во многих фирмах, мы брали кажется в Симметроне.


Спасибо большое, в микронике действительно даже в наличии есть, немножко другие варианты правда biggrin.gif

только вот еще глупый вопрос, надо ли после нее ставить керамику в параллель к земле, и на какой частотный диапазон сами бусины выбирать?

Автор: assa Jun 18 2007, 07:12

Цитата(Skywolf @ Jun 18 2007, 08:39) *
Спасибо большое, в микронике действительно даже в наличии есть, немножко другие варианты правда biggrin.gif

только вот еще глупый вопрос, надо ли после нее ставить керамику в параллель к земле, и на какой частотный диапазон сами бусины выбирать?

для фильтра питания PLL процессора 6414 применял последовательно соединенные BLM+NFM+керамика на землю.
NFM21CC222R1H3D
BLM18BB221SN1D
1uF y5v

Автор: Men Sep 20 2007, 07:34

Хочу гальванически отвязать модуль. Посоветуйте DC/DC c входным 9В и выходным 5В (1-1.5 А) для питания плисины

Автор: assa Sep 20 2007, 07:51

Цитата(Men @ Sep 20 2007, 10:34) *
Хочу гальванически отвязать модуль. Посоветуйте DC/DC c входным 9В и выходным 5В (1-1.5 А) для питания плисины

емнип DFC6 или DGP12 серии конвертеров от PowerOne. предупрежу что габариты не маленькие. может на самом деле нет нужды в гальванике?

Автор: yura-w Sep 20 2007, 08:33

Цитата(Men @ Sep 20 2007, 11:34) *
Хочу гальванически отвязать модуль. Посоветуйте DC/DC c входным 9В и выходным 5В (1-1.5 А) для питания плисины


http://electronix.ru/redirect.php?http://www.mmp-irbis.ru/catalog/?lang=rus&c_id=43
или
http://electronix.ru/redirect.php?http://www.traco-electronic.de/dc_konverter.htm

Автор: aem Oct 11 2007, 09:28

Замерил потребление для XC3S400-4TQ144. Плата работает от 10В, 3.3V делаются из него, остальные из 3.3V . По 10В потребление 60 мА всей платой. Я думаю, что 90% потребляет Xilinx.
Чтобы получит 3.3V, я поставил импульсный max1685 на 1.2V - импульсный tps62207, на 2.5V - LDO tps73625. Max1685 применил только потому, что входное напряжение больше 8V. Все корпуса маленькие - QSOP16(MAX1685) и SOT23. Частота 50MHz, схема правда пока совсем небольшая

Цитата(des00 @ Sep 15 2005, 08:10) *
Как то на сказку похоже smile.gif
я на сайте ксайлинска сделал прикидку, так вот при заполнености чипа на 100%
и частоте 100МГц, по 1.2В 1.3 А потребуеться.
По остальным да мало не более 300мА, но вот по Vccint


Выпущено уже 50 плат - никаких проблем, более того для питания ядра заменили tps62207 на
импульсный регулятор на 150мА LDO, все отлично работает.

Автор: Omen_13 Nov 16 2007, 03:43

Подскажите доставабельные линейные стабилизаторы на 3,3 и 1,8В (100мА, частоты маленькие) - без внешних делителей, а то одна ПЛИС уже ушла в мир иной - перепутал резисторы sad.gif

Автор: DSIoffe Nov 19 2007, 08:17

Да навалом же, только у TI куча: TPS76333 и 76318, например. Легко купить даже в розницу, по крайней мере, в Питере.

Автор: grudinin Nov 20 2007, 13:09

Привет всем!
Подскажите пожалуйста, обязательно ли при разводке подавать VCCO питание на банк Spartan2, если нужды использования данного банка в проекте нет? Т.е. если использую 1 и 2 банк, то можно запитать только их? Если нет, то почему...

Автор: vetal Nov 20 2007, 15:26

Цитата
Подскажите доставабельные линейные стабилизаторы на 3,3 и 1,8В (100мА, частоты маленькие) - без внешних делителей, а то одна ПЛИС уже ушла в мир иной - перепутал резисторы

http://electronix.ru/forum/index.php?showtopic=1356&st=120#
По этой ссылке нашел интересного пр-ля. С поставкой проблем не было. По габаритам даже меньше чем некоторые линейники. Единственный минус - емкость большую на выходе не поставить.

Автор: Realking Dec 17 2007, 11:21

А кто чем пользуется для питания Cyclone III?

Сижу разбираюсь, и не могу понять в третьих циклонах важен порядок подачи питания (VCCint(1.2V), VCCa(2.5V), VCCio(3.3V)) или же нет?

Автор: pikar Dec 24 2007, 09:06

Для новой разработки на CycloneIII решил применить TPS7101 или TPS7301. Чтобы не сгорела Альтера от перепутывания резисторов, поставил две SMD-площадки с зазором 0.5мм. Сначала буду проверять напряжение, а потом соединять эти площадки припоем. На одну площадку питание поступает с LDO, а со второй - уходит в остальную часть схемы.
А никто не скажет: что будет если на JTAG подать 3.3В заместо 2.5В в CycloneIII? А то у меня сомнения, что ByteblasterMV будет работать от 2.5В.

Автор: zcost Feb 10 2008, 09:13

Использую для питания микросхемы энпирионовские EN5312QI, получается довольно компактно, всего два конденсатора и возможность программирования различных напряжений установкой перемычек. Корпус правда QFN20, но при определенном навыке вполне реально запаять обычным паяльником с тонким жалом. Единственное НО, не любит микросхемка емкостных нагрузок. Если выходная емкость больше 100 мкф, то отказывается работать.

Автор: vetal Feb 10 2008, 10:04

Цитата
Если выходная емкость больше 100 мкф, то отказывается работать.

У них в даташите написано - оптимизировано для стабильной работы до 50мкФ.

Автор: dmel70 Feb 11 2008, 20:33

На EP1C6 на частоте 125 МГц ставим 2 штуки LD1117-1.5. На них подается 3.3 В. Плат 200 уже собрали. Проблем не было. Еле теплые.

Автор: Krys Feb 21 2008, 12:14

Появился опыт использования MAX1831EEE. По стабилизации нареканий нет. Зато выявился недостаток - без нагрузки оставлять просто опасно: выходное напряжение устанавливается выше напряжения стабилизации. При том не вообще без нагрузки, а без хорошей нагрузки. У меня напряжение стабилизации 1,2 В. Ставил балласт 18 Ом - не хватило. Т.е. с таким сопротивлением выдаёт не 1,2 В, а выше. Сейчас от греха подальше поставил 1 Ом. Сопротивление, конечно, нагревается, зато напряжение стабилизации присутствует в точности на выходе 1,2 В. С подбором конкретного резистора балластного пока экспериментировать было некогда.

Автор: Georgy Mar 14 2008, 18:36

Пробовали линейный ADP1708 with Adjustable Output Voltage, 0.8 V to 5.0 V, Maximum output current: 1 A
?
На своей родине стоит 1 бакс
Описалово пока впечатляет.

Автор: Ki-reel Mar 21 2008, 16:04

Цитата(PhilipS @ Sep 26 2006, 10:56) *
А кто нибудь использовал микромодули LTM4600 от LinearTechnology ?
Какие впечатления?
Т.К. опыта разработки импульсников нет а сроки очень "давили" а стоимость значения не имела то поставил их 3 штуки для всех напряжений Spartan III ( 1.2 ядро, 2.5 VccAUX и 3.3 VccIO ). Как они "чувствуют" себя на малых токах ?

Мы тоже думаем об этих же u-модулях. Но сама Linear в документе рекомендаций для FPGA указывает, что u - продукт новый, их применение является довольно смелым шагом (типа мы пока ни за что не отвечаем). Прям так и пишет.
Сообщите, как пройдут ходовые испытания, ок?

Автор: sysel Apr 19 2008, 23:36

Кто-нибудь пробовал MAX1951 ?
Ценой радует...

Или посоветуйте какой-нибудь легкодоставаемый преобразователь на 1.2 В

Автор: sysel Apr 20 2008, 13:43

А пробовал кто ST1S10 от ST ?
Судя по даташиту для FPGA предназначены
3А дают в диапазоне 0.8 - 15 В
в корпусе SOIC8.
в Терраэлектронике в рознице 40 руб стоят.

Одни плюсы. Где подвох ?

Автор: Krys Apr 21 2008, 03:59

Цитата(sysel @ Apr 20 2008, 06:36) *
Кто-нибудь пробовал MAX1951 ?
Ценой радует...

Или посоветуйте какой-нибудь легкодоставаемый преобразователь на 1.2 В
Я пользовал MAX1831EEE, отчёт ранее в этой теме приводил. Именно на 1,2 В.

Автор: Artemii Panasuk Jun 17 2008, 13:19

Цитата(Ki-reel @ Mar 21 2008, 20:04) *
Мы тоже думаем об этих же u-модулях. Но сама Linear в документе рекомендаций для FPGA указывает, что u - продукт новый, их применение является довольно смелым шагом (типа мы пока ни за что не отвечаем). Прям так и пишет.
Сообщите, как пройдут ходовые испытания, ок?

Мы использовали.
Очень хорошие модули. Единственное, что нужно это внимательно читать datashit. Он очень сумбурный. Мы не сразу догадались поставить балластные конденсаторы. Из-за этого был затянут период включения после снятия питания(секунд до 15)
Сейчас будем пробовать 8-ю серию причем хотим распределить тепловыделение при помощи функции TRACK.

Автор: Porychik Kize Jul 21 2008, 07:07

Цитата(Artemii Panasuk @ Jun 17 2008, 17:19) *
Мы использовали.
Очень хорошие модули. Единственное, что нужно это внимательно читать datashit. Он очень сумбурный. Мы не сразу догадались поставить балластные конденсаторы. Из-за этого был затянут период включения после снятия питания(секунд до 15)
Сейчас будем пробовать 8-ю серию причем хотим распределить тепловыделение при помощи функции TRACK.


А можно чуть поподробнее относительно "балластных конденсаторов" и других тонкостей включения 4-ой и 8-ой серии? (я сейчас как раз рисую схему с использованием LTM8023)...

Автор: Zhadanchik Jul 21 2008, 16:27

Господа знатоки!!! Излагаю суть своей проблемы. У меня есть адаптер на 9Вт (9В, 1А). Необходимо запитать CycloneII. А как известно ей (ПЛИС) нужны 1,2В и 3,3В. В связи с этим не могли бы вы предложить какие-нибудь конкретные схемотехнические решения по этому вопросу или ссылки на datasheet с примерами включения преобразователей (стабилизаторов) (9В->1,2B и9B->3,3B). Видите ли, время поджимает...да и готовой, проверенной схемой пользоваться приятней smile.gif . Заранее спасибо! Ну и на десерт, чайниковский вопрос! Кроме ПЛИС в схеме фигурируют SRAM и повышающий преобразователь частоты (AD9857) с ЦАП на выходе, т.е. эта МС (AD9857) имеет аналоговую и цифровую части, которые обе питаются 3,3В. Вопрос: есть ли смысл аналоговую часть AD9857 питать отдельно (в смысле использовать отдельный DC/DC).

Автор: McLashow Jul 27 2008, 22:26

Для питания ПЛИС с током потребления более 1 А, в большинстве случаях вернее использовать импульсный стабилизатор, а для питания "ядра" (с током более 3 А) необходимо использовать многофазные стабилизаторы (для повышения КПД) если место позволяет и критично тепловыделение. Питание на узлы ФАПЧ надо подавать через НЧ фильтр.

Автор: arexol Aug 4 2008, 13:53

Цитата(sysel @ Apr 20 2008, 16:43) *
А пробовал кто ST1S10 от ST ?
Судя по даташиту для FPGA предназначены
3А дают в диапазоне 0.8 - 15 В
в корпусе SOIC8.
в Терраэлектронике в рознице 40 руб стоят.

Одни плюсы. Где подвох ?


Вот и я решил заложить , и подвох такой ..
нужен дросель B82472G6222M000 2.2uH 3A - где купить Х.З.
сижу думаю что делать ..
в основном никто такое не продаёт
единственно где нашёл
http://electronix.ru/redirect.php?http://www.platan.ru/cgi-bin/qweryv.pl/0w10901.html но там нет в наличии.

Мож кто подскажет альтернативу и что-б доставаемость была нормальная ?

Автор: dinam Aug 5 2008, 01:53

Попробуйте обратиться в http://electronix.ru/redirect.php?http://www.eltech.spb.ru/ когда то они мне помогли в этом вопросе smile.gif

Автор: guron Aug 7 2008, 08:07

Цитата(PhilipS @ Sep 26 2006, 11:56) *
А кто нибудь использовал микромодули LTM4600 от LinearTechnology ?
Как они "чувствуют" себя на малых токах ?

На малых токах они неслабо греются, при малой разнице входа и выхода даже сравнимы с линейными стабилизаторами, если не хуже. В даташитах есть графики Power Loss - они достаточно достоверны. Сами модули надо охлаждать/отводить тепло.
Я у себя поставил аж на 4 номинала питания, хотя оправданы они только на 2-х, но дабы не плодить номенклатуру сделал всё однообразно. Сначала думали, что LGA будет нереально запаять (опыта не было), запаяли с 1 раза, как родные, сами удивились smile.gif Правда у меня 4601HV - у них входное до 28 вольт. Более привлекательными выглядят 4607 у них дроссель внешний и греются они значительно меньше (линеар прислал журнальчик, там фотки тепловизором этой микрухи и дросселя, дроссель гораздо горячее), но места они требуют больше, что не всегда реально.

Автор: Splice Sep 18 2008, 18:58

Давно и очень часто используем в разработка TPS70258 и подобные из этой серии. Очень удачно. Пользовались как с выходами 3.3,2.5 так и 3.3,1.8. Работает как положено во всём температурном диапазоне. Главное придерживаться рекомендаций по применению, а то может закончится дырой в корпусе smile.gif Питали ими MAXII,FLEX,APEX, при этом они ещё запитывали перефирию по 3.3В.

Автор: DSIoffe Sep 22 2008, 09:45

Цитата
Господа знатоки!!! Излагаю суть своей проблемы. У меня есть адаптер на 9Вт (9В, 1А). Необходимо запитать CycloneII.

Если не слишком поздно: TPS62110 от TI, мне очень понравилась.

Автор: kost_ Oct 11 2008, 13:47

Цитата(PhilipS @ Sep 26 2006, 11:56) *
А кто нибудь использовал микромодули LTM4600 от LinearTechnology ?
Какие впечатления?

Использовали в нескольких последних проектах по 2 штуки на плате (питание ядра и 3.3В). Впечатления не очень приятные, хотя, возможно, мы "просто не умеем их готовить"... А именно, имеются три различные платы с одинаковыми ПЛИС на борту. С одной проблем замечено не было, вторая иногда (1 раз из 20) включается со второй попытки, третья пока вообще не работает (на выходах микромодулей 0). Схема подключения LTM4600 на всех трех платах одинаковая.

Автор: SFx Oct 11 2008, 20:12

Кстати, друзья, поделитесь тем как вы делаете аналоговую землю и как она с цифровой землей связана ?

Автор: Shaci Oct 22 2008, 08:29

 P_CAD_EDA____Sheet1_.pdf ( 83.43 килобайт ) : 390
 tps75003.pdf ( 934.93 килобайт ) : 648
Всем привет.
Дали схему, приказали разобраться, что к чему, и доработать. На схеме Spartan 3e (XC3S500E). Нужно
подобрать схему питания, разобраться с ПЗУ, интерфейсами и.т.д. Только начал всем этим заниматься, так что начну потихонечку задавать глупые вопросы..)
Сначала по питанию. Нашел готовые схемы питания ( например от TI и National Semiconductor)
Решил использовать в качестве регулятора TPS75003. Как я понял по документации, подключаем вывод Vccint (1,2) к соответствующим на FPGA, тоже самое с Vccaux (2,5).

Вопрос.
Вывод Vccout подключается ко всем банкам IO и одновременно к контактам питания flash и приемопередатчика RS232-TTL (MAX3323E), или для питания периферии нужно что-то другое?
И второе, банк 2 работает с сигналами TTL,соответственно его Vcco - 3,3 V, но необходимо, чтобы банк 3 поддерживал сигналы стандарта LVDS, то есть его Vcco должно быть 2,5 V, можно ли его подвести от того же вывода регулятора, от которого запитываются Vccaux??

В pdf даташит на tps75003 и схема с spartanom...

Автор: Iptash Nov 16 2008, 13:40

Доброго всем здравия.

Я для питания ПЛИС да и для др. использую диоды. Допустим какое то устройство сделанное на
EPM3... должно работать на ISA шине где есть 5в., для питания девайса я ставлю два последовательно
диода(например 1N4007), а как мы знаем падение напряжения на диоде состовляет 0,7в т.е.
получается 5-1,4=3,6в. ну и так далее для любого девайса. Ток это максимальный прямой ток
данного диода.

Автор: dvladim Nov 16 2008, 14:08

Сурово. 07.gif
Надежно. maniac.gif

Автор: zltigo Nov 16 2008, 19:30

Цитата(dvladim @ Nov 16 2008, 17:08) *
Сурово. 07.gif
Надежно. maniac.gif

Дикость некомпетентность в чистом виде.

Автор: mil1553 Nov 27 2008, 16:20

Цитата(PhilipS @ Sep 26 2006, 08:56) *
А кто нибудь использовал микромодули LTM4600 от LinearTechnology ?
Какие впечатления?
Т.К. опыта разработки импульсников нет а сроки очень "давили" а стоимость значения не имела то поставил их 3 штуки для всех напряжений Spartan III ( 1.2 ядро, 2.5 VccAUX и 3.3 VccIO ). Как они "чувствуют" себя на малых токах ?


Использую LTM4600 уже год в AMC бордах (по 3 штуки на борду, Vin = 12V, Vout_core = 1V, Vout_aux =2V5, Vout_i/o = 3V3) и нет проблем. Пока все работает без радиаторов. Запитываю маленький Virtex- XC5VLX30T-FFG665, memory 32MB DDR2, интерфейсы PCI Express - 4 lane, интерфейс к мезаниной карте - около 60 сигналов, частота 100MHz для single-ended и 500 MHz для LVDS. Для запитки MGT передатчиков и конфигурационный Flash использую 4 MAX8556ETE - маленькие но могучие, до 4 Ампер на выходе. MAX8556ETE запитываются от Vout_i/o (LTM4600) = 3V3.

Автор: paskal Jan 21 2009, 19:44

Подскажите, какая серия больше потребляет - MAX7000S или FLEX10K? Если конкретно, то интересует EPM7128S-100 против EPF10K20-144.

Автор: Boris_TS Apr 10 2009, 11:28

Подумал-подумал и решил, что тут можно увековечить эту полезную информацию:

Порой приходиться в один IO банк ПЛИС напихать очень много синхронно переключающихся выходов (simultaneous switching outputs - SSOs), да еще и с большой энегроёмкотью. И так частенько нехорошо выходит, что количество этих самых SSO превышает предельно допустимое, зависящее от количества пар ног питания в этом IO банке... Xilinx для своих FPGA уже давно дала ответ, откуда брать дополнительные пары ног питания: оказывается в них можно превратить обычные выходные ножки. Но вот, к сожалению, мне не удалось сохранить первородный XAPP в котором это было явно (с формулками) прописано, поэтому привожу ссылку на http://electronix.ru/redirect.php?http://www.xilinx.com/support/documentation/white_papers/wp323.pdf.

Цитата(WP323 v1.0, page 5 @ March 28 2008)
Second, unused I/O pins can be employed as virtual ground or supply pins. They can
be programmed to drive a one or a zero at the highest current drive strength (using
PCI™, GTL, or LVTTL24 buffers) and tied to the power or ground planes of the
printed circuit board. These pins function as additional power and ground pins,
keeping the ground and power bounce under control.
These virtual pins can be
bypassed in the same manner as power or ground pins

Автор: Kostos Jun 23 2009, 23:03

Цитата(Boris_TS @ Apr 10 2009, 15:28) *
Подумал-подумал и решил, что тут можно увековечить эту полезную информацию:

Порой приходиться в один IO банк ПЛИС напихать очень много синхронно переключающихся выходов (simultaneous switching outputs - SSOs), да еще и с большой энегроёмкотью. И так частенько нехорошо выходит, что количество этих самых SSO превышает предельно допустимое, зависящее от количества пар ног питания в этом IO банке... Xilinx для своих FPGA уже давно дала ответ, откуда брать дополнительные пары ног питания: оказывается в них можно превратить обычные выходные ножки. Но вот, к сожалению, мне не удалось сохранить первородный XAPP в котором это было явно (с формулками) прописано, поэтому привожу ссылку на http://electronix.ru/redirect.php?http://www.xilinx.com/support/documentation/white_papers/wp323.pdf.


вещь, ай да Xilinx, ай да молодца... спасибо, действительно ценный трюк. Впечатляет завершающая фраза этого документа, по целостности сигналов - все это, используется в микроволновой технике уже 40 лет...

Автор: Raimis Sep 18 2009, 13:25

доставаемость FAN1112 стало лучше - решил использовать для питания ядра спартана в небольшом проекте. Но в даташите FAN1112 ненашел требований (рекомендаций) для конденсатора на выходном напряжении. Просто нарисована типовая схема с электролитом (или танталом?) емкостью 22mkF и все... Несовсем понятно: керамику можно ставить? Какой мансимальной емкости?
Может у кого есть опыт?

Автор: brag Sep 23 2009, 20:04

A TPS54383 никто не пробовал ставить для питания cyclone3+ddr ?
думаю их 2 поставить (1.2v,2.5v,3.3v,5v). только там нужна внешняя компенсация и супернизкоимпедансные кондеры (типа TPS от AVX).
но эта микруха дешовая. другие варианты будут раза в 2 дороже

Автор: Костян Nov 2 2009, 08:45

Важна ли последовательность подачи питания для Virtex-4 ? Не могу найти в документации ничего по этому поводу.

Автор: penauch Nov 9 2009, 10:05

подскажите, можно ли запитать ПЛИС EP2C8Q208C8N (Cyclone-2) линейным стабилизатором LT3021ES8-1.2 ?

настораживает, что максимальный ток 500 мА (исходное напряжение планируется 3.3V)


и ещё. можно ли взять LM1117-ADJ и посадить вывод ADJ на землю - тем самым получив 1.25V для питания вышеупомянутой ПЛИС ?

P.S. туговато с регуляторами на 1.2V sad.gif

Автор: sysel Nov 9 2009, 12:53

Цитата(penauch @ Nov 9 2009, 13:05) *
и ещё. можно ли взять LM1117-ADJ и посадить вывод ADJ на землю - тем самым получив 1.25V для питания вышеупомянутой ПЛИС ?

Строго говоря, нельзя - см. даташит на Cyc II ( 1.15 - 1.25 В), a LM1117 даёт до 1.27 В.
Но если посмотреть на Absolute Maximum Ratings, то Cyclone II держит 1.8 В
Т.е. лишние 20 мВ вряд ли ему сильно повредят.

У меня в проекте используется LM1117ADJ c посаженым ADJ на землю. Всё прекрасно работает. Правда у меня невысокие частоты (33 МГц) и небольшой ток (100 мА).

А насчет регуляторов на 1.2 В - смотрите ADP1706

Автор: penauch Nov 10 2009, 05:01

Цитата(sysel @ Nov 9 2009, 16:53) *
У меня в проекте используется LM1117ADJ c посаженым ADJ на землю. Всё прекрасно работает. Правда у меня невысокие частоты (33 МГц) и небольшой ток (100 мА).


сколько тока на 1.2В может максимально потреблять EP2C8Q208 при условии, если тактовая 50МГц, напряжение портов 3.3В, использовано 80% выводов, PLL не используются ?

а то что-то не нашёл про токи потребления ничего.

можно ли взять LDO 1.2В с Imax=0.5A ?

Автор: sysel Nov 10 2009, 06:48

Цитата(penauch @ Nov 10 2009, 08:01) *
сколько тока на 1.2В может максимально потреблять EP2C8Q208 при условии, если тактовая 50МГц, напряжение портов 3.3В, использовано 80% выводов, PLL не используются ?

а то что-то не нашёл про токи потребления ничего.

можно ли взять LDO 1.2В с Imax=0.5A ?

Потребляемый ток зависит от того, что вы запихнули внутрь ПЛИС. Львиная доля потребляемой энергии тратиться при переключениях на внутренних линиях "0"->"1" и "1"->"0". Статическое потребление минимально.
Ток, портебляемый ядром, не будет зависеть от числа задействованных портов, нагрузки на них и от напряжения питания ввода-вывода.

В квартусе есть утилита для оценки потребляемого тока ПЛИС для конкретного проекта (как называется не помню). Поищите по форуму - тема потребления поднималась неоднократно.

Автор: Евгений Николаев Nov 10 2009, 18:21

Цитата
сколько тока на 1.2В может максимально потреблять EP2C8Q208

http://electronix.ru/redirect.php?http://focus.ti.com/analog/docs/refdesignovw.tsp?familyId=64&contentType=2&genContentId=36465

Автор: penauch Nov 11 2009, 00:00

Цитата(Евгений Николаев @ Nov 10 2009, 21:21) *
http://electronix.ru/redirect.php?http://focus.ti.com/analog/docs/refdesignovw.tsp?familyId=64&contentType=2&genContentId=36465


Перебор, ИМХО.

На отладочной плате стоит циклон 2C20 с LM1117-1.2 на 800мА против 2000мА, заявленных техасцами. (в 2.5раза)
Но это наверное при 100% загрузке ПЛИС.

Но за ссылку всёравно спасибо.
Буду делить их максимальные токи на 2.5 smile.gif

Поможет ли прямой замер тока ядра ПЛИС при работе схемы?

Автор: Kostos Nov 16 2009, 17:42

Применяю в качестве питания LM2651,
но вот доставаемость всех кроме -ADJ резко снизилась,- посмотрел на сайт NSC - рекомендуют менять на -ADJ в связи со снятием с производства. В связи с чем вопрос - почему снимают? Может надежность не такая как заявлено или дефекты пошли?

Кстати как лучше и не дорого избежать превышения напряжения если питатель навернулся, только внешний монитор с релюхой? (навернулся таки один такой зверь - LM2651-1.8 - на выходе 3.4В, ток порядка 0.15А сквозной, что вроде немного и почти несмертельно :-), а вот генерации нет, но на SS/~SH реагирует адекватно - уходит в 0 как и положено ...)

ЗЫ буду уходить в что нить более интеллектуальное - чтобы PG был (хотя бы LM2653), хотя на плате есть микроконтроллер с незадействованным АЦП, но с заведенными линиями питания,- предполагал монитор питания сделать когда время будет smile.gif ; что позволит доработать без применения дополнительных мер. И еще, не мог ли этот LM2651 подохнуть от запитки стандартным компютерным БП +12В? запас по даташиту, увы только до 14В... а там броски бывают на длинных проводах от дисков твердо-жестких не слабые (15-16В видел в при отключении) нагрузки правда было один диск и все.

ЗЗЫ Хоть и не должни были вроде, но потребители выжили. А монитор питания реализовать придется, + входное напряжение измерять, и если что - отключать всё. Красивее, конечно, получиться должно с готовыми мониторами питания, и наверняка надежнее.

Автор: oleg_d Nov 23 2009, 11:51

Пробовал кто-нибудь применять LM26480, что можете о ней сказать?

Автор: Nels Nov 26 2009, 08:01

Цитата(kotapes @ Mar 21 2007, 12:34) *
Поделитесь, кто как считает потребляемую мощность будущего проекта?
В частности решил прикинуть потребление 4 виртекса, ручками посчитал, ерунда какая-то, в инете на сайте ксайлинкса лежит пдф-ка для расчета, так она падла работать отказывается sad.gif


Тоже очень интересует. Поможет кто?

Автор: kaps Nov 26 2009, 09:57

Здрасьте всем! А кто подскажет как себя ведет ПЛИС Альтера при снижении напряжения питания (любого) до нижнего граничного? Есть ли внутренний контроль питания у ПЛИС и если есть, то как она себя ведет при этом? Заранее спасибо! smile.gif

Автор: dvladim Nov 26 2009, 19:03

Цитата(kaps @ Nov 26 2009, 13:57) *
Здрасьте всем! А кто подскажет как себя ведет ПЛИС Альтера при снижении напряжения питания (любого) до нижнего граничного? Есть ли внутренний контроль питания у ПЛИС и если есть, то как она себя ведет при этом? Заранее спасибо! smile.gif

Контроль есть, ПЛИС сбрасывается.

Автор: 3amec Dec 7 2009, 19:27

Прошу пояснить следующую ситуацию. Есть ПЛИС Xilinx Spartan2. Питание 2.5В ядро и 3.3В периферия. В описании на неё сказано что в момент включения возможен по питанию ядра кратковременный скачок тока до 2А. В связи с ограниченным местом на плате поставлены линейные стабилизаторы с ограничением тока до 350 мА. Схема работает без нареканий. Как вы считаете возможны ли в этом случае проблемы с загрузкой и зауском ПЛИС?

Автор: Grumbler_2002 Dec 7 2009, 23:14

Вы сначала определите какая ПЛИСина у Вас стоит (дата производства, C или I) и в каком температурном диапазоне она будет работать. Потом опять в даташит, чтобы уточнить требования к питанию. Ну и почитайте на досуге xapp450 для общего развития.

Автор: %-) Dec 8 2009, 11:13

на всякий случай вставлю свои 5 копеек.

значит сделал на ep2c8q208 платку - привес - 2 SRAM , напруга 3.3V
ядро 1.2V - потребляетс соооовсем немного 30ма

тактовая 50мгц

дизайн решает всё ИМХО

Автор: Victor Dec 8 2009, 11:35

Цитата(Nels @ Nov 26 2009, 11:01) *
Тоже очень интересует. Поможет кто?

Однозначно нужны данные о загрузке кристалла.
Используемая логика, ff-ы, умножители, память, dcm-ы и т.д. и на какой тактовой это все работает.
У меня совпадение с xilinx power estimator было очень точное.
Заметно влияет DCI.
Вообще крупные кристаллы могут реально выжирать около 6-10А только по ядру.

Автор: Nels Dec 22 2009, 07:58

Вопрос о подаче питания на плату с ПЛИС. Хочу разобраться детально.
Думаю до платы использовать отдельный блок питания, понижающий с 220 В до 27 В,наверное,покупной.
А далее, на плате: модуль Semtech SC417 для преобразования 27 В в 5 В. И из 5 В хочу получить напряжения 1.2, 1.5, 2.5, 3.3 с помощью модуля TPS54610.
кто-нибудь пользовался ими? Как они в деле? и правильно ли вообще использовать такую схему для понижения напряжения? Дополнительно к ним надо индуктивности заказывать,есть ли какие-нибудь сложности с этим, и чем чревато использование индуктивностей не из даташитов или аппноутов?

Автор: torik Dec 22 2009, 08:55

Цитата
Думаю до платы использовать отдельный блок питания, понижающий с 220 В до 27 В,наверное,покупной.
А далее, на плате: модуль Semtech SC417 для преобразования 27 В в 5 В.


А нельзя сразу из 220 в 5В, чтобы КПД было выше?

Цитата
Дополнительно к ним надо индуктивности заказывать,есть ли какие-нибудь сложности с этим, и чем чревато использование индуктивностей не из даташитов или аппноутов?


Если очень плохие, то снижение КПД и прочие прелести...

Автор: Nels Dec 22 2009, 11:25

Цитата(torik @ Dec 22 2009, 11:55) *
А нельзя сразу из 220 в 5В, чтобы КПД было выше?

нет,нужно предусмотреть от 27В.
Цитата(torik @ Dec 22 2009, 11:55) *
Если очень плохие, то снижение КПД и прочие прелести...

что понимать под прелестями: нестабильные параметры самой индуктивности,монтаж влиять сильнее будет и, как следствие,"недофильтруется" питание или что?
Это,хотя, и не первый вопрос даже, если проблем в покупкой тех индуктивностей,что приведены в ДШ, не будет.
И, пожалуй,ещё вопрос,уважаемые разработчики:
Если я хочу запитать ПЛИС на своей плате, то хватит ли мне стабильности того питания,которое я буду брать с отладочной платы на какой-нибудь источник питания (switch+ldo) ?И какими методами можно обеспечить фильтрацию этого питания,при передачи от платы к плате и самой ПЛИС?

Автор: QingTing Dec 22 2009, 11:41

Цитата(Nels @ Dec 22 2009, 10:58) *
Вопрос о подаче питания на плату с ПЛИС. Хочу разобраться детально.
Думаю до платы использовать отдельный блок питания, понижающий с 220 В до 27 В,наверное,покупной.
А далее, на плате: модуль Semtech SC417 для преобразования 27 В в 5 В. И из 5 В хочу получить напряжения 1.2, 1.5, 2.5, 3.3 с помощью модуля TPS54610.
кто-нибудь пользовался ими? Как они в деле? и правильно ли вообще использовать такую схему для понижения напряжения? Дополнительно к ним надо индуктивности заказывать,есть ли какие-нибудь сложности с этим, и чем чревато использование индуктивностей не из даташитов или аппноутов?


Применял TPS54610, но постепенно перешел на готовые модули к примеру PTV05020W. Они значительно упрощают разводку ПП и экономят деньги. Посмотрите в их сторону.

 ptv05020w.pdf ( 513.42 килобайт ) : 226
 

Автор: Nels Dec 22 2009, 12:20

Цитата(QingTing @ Dec 22 2009, 14:41) *
Применял TPS54610, но постепенно перешел на готовые модули к примеру PTV05020W. Они значительно упрощают разводку ПП и экономят деньги. Посмотрите в их сторону.

Они ,конечно,подходят,а как их на плату с ПЛИС прикрутить?Просто в разъём на самой плате?Если мне надо из 5В сделать 3,3 2,5 1,8 , то получается забор из 3-х модулей на краю платы ?))

Автор: QingTing Dec 22 2009, 15:25

Они запаиваются на плату, и займут как раз намного меньше места чем три TPS54610 со своей обвязкой. Написали бы вы необходимые вам токи по 3.3, 2.5, 1.8. Может можно в некоторых случаях обойтись линейными стабилизаторами.

Автор: Victor® Dec 22 2009, 17:19

Цитата(QingTing @ Dec 22 2009, 19:25) *
Они запаиваются на плату, и займут как раз намного меньше места чем три TPS54610 со своей обвязкой. Написали бы вы необходимые вам токи по 3.3, 2.5, 1.8. Может можно в некоторых случаях обойтись линейными стабилизаторами.


Я вот в последнне время смотрю на Enpirion.
Например, 1-амперный EN5311QI - у "них" стоит порядка 2.08$ поштучно (у "нас" будет ~ 3 $).
Что для такого универсального решения считаю адекватным.
Кто-то использует Enpirion?

P.S.
EN5395QI (9A) ~ $9
PTV05010W (8A) ~ $12

Так что по-крайней мере PTV05010W явно в проигрыше, IMHO.
-- Успехов!

Автор: vetal Dec 22 2009, 17:52

Цитата
Кто-то использует Enpirion?

Я использую EN5312QI для питания ядра EP3C16 нареканий нет.

Автор: Victor® Dec 22 2009, 17:57

Цитата(vetal @ Dec 22 2009, 20:52) *
Я использую EN5312QI для питания ядра EP3C16 нареканий нет.


Не поскажете разницу по параметрам с 5311?
Я что-то не нашел :-( Но есть немного разница в стоимости...

Дополнено:
[+]
Вот просмотрел даташиты снова. Есть очень незначительные расхождения.
По всей видимости 5311 - новее (даташиты новее). Она кстати, и на 0,4 $ дешевле.
Может тех. процесс другой.... Иных предположений нет
[++]
В этом документике
http://electronix.ru/redirect.php?http://www.mouser.com/catalog/catalogUSD/640/393.pdf
Разная частота работы указана и выходной диапазон, но это не соответствует даташитам cranky.gif

Автор: vetal Dec 22 2009, 18:49

Цитата
Не поскажете разницу по параметрам с 5311?
Я что-то не нашел :-(

У них такое может быть. Возможно когда переезжают с завода на завод меняют серию. Такое было когда я из выбирал.

Обратите особое внимание на максимально допустимую емкость на выходе стр. 9 обоих даташитов на enpirion.com:
Код
The control loop is designed to be stable with up to 60uF of total output capacitance without requiring modification to the compensation network.

У меня поставлено 1206 X7R 47 мкФ 10V

Автор: Victor® Dec 22 2009, 20:08

Цитата(vetal @ Dec 22 2009, 21:49) *
У них такое может быть. Возможно когда переезжают с завода на завод меняют серию. Такое было когда я из выбирал.

Обратите особое внимание на максимально допустимую емкость на выходе стр. 9 обоих даташитов на enpirion.com:
Код
The control loop is designed to be stable with up to 60uF of total output capacitance without requiring modification to the compensation network.

У меня поставлено 1206 X7R 47 мкФ 10V


Да, спасибо. Я это заметил. Непонятно просто, как делать компенсацию, раз уж они это написали.
Подозреваю, что это можно сделать только при использовании режима с внешней ОС с помощью конденсатора.
Но думаю до этого не дойдет.
1206 взяли из-за меньшей деградации емкости?

Автор: Nels Dec 23 2009, 07:50

Цитата(QingTing @ Dec 22 2009, 18:25) *
Они запаиваются на плату, и займут как раз намного меньше места чем три TPS54610 со своей обвязкой. Написали бы вы необходимые вам токи по 3.3, 2.5, 1.8. Может можно в некоторых случаях обойтись линейными стабилизаторами.

Токи сказать не могу- процессы разработки железа и программы под ПЛИС в параллель идут,могу сказать лишь то ,что будет либо XC4VLX200 или XC4VSX55,а у них в даташите указаны токи ~ 5А и ~3А. Из них питание ICCAUXMIN - до 600мА,ICCOMIN - до 400мА(это у LX200), вот их ,наверное, линейниками питают,так?

Автор: QingTing Dec 23 2009, 12:26

С XILINX я не работаю, но по ПЛИС Altera Stratix II могу сказать следующее, применяю DC/DC только для питания ядра, для остальных 2.5, 3.3 использую LDO.
P.S Очень заинтересовали Enpirion.

Автор: Ki-reel Jan 22 2010, 18:59

Цитата(QingTing @ Dec 22 2009, 14:41) *
Применял TPS54610, но постепенно перешел на готовые модули к примеру PTV05020W. Они значительно упрощают разводку ПП и экономят деньги. Посмотрите в их сторону.

но к сожалению их нужно как-то дополнительно крепить от тряски и неясно, как отводить тепло, особенно для конструктивов с кондуктивным теплоотводом. unsure.gif В этом отношении EN53хх и по этому же принципу ltm460x (u-модули) упрощают конструктив.

по случаю мои благодарности за ответы: Artemii Panasuk от Jun 17 2008, 16:19

Цитата(guron @ Aug 7 2008, 11:07) *
линеар прислал журнальчик, там фотки тепловизором этой микрухи и дросселя...

а не подскажете, как именно называется журнальчик?








Цитата(kaps @ Nov 26 2009, 12:57) *
Здрасьте всем! А кто подскажет как себя ведет ПЛИС Альтера при снижении напряжения питания (любого) до нижнего граничного? Есть ли внутренний контроль питания у ПЛИС и если есть, то как она себя ведет при этом? Заранее спасибо! smile.gif

Цитата(dvladim @ Nov 26 2009, 22:03) *
Контроль есть, ПЛИС сбрасывается.

например у StrxII расплывчато описывается POR circuit (handbook apr 2006, стр. 4-5). Якобы если одна из напруг не в норме - висим в сбросе. А как например отследить из-за чего вешаемся, если причина сброса неизвестна? Ведь положим по одной из напруг броски идут - и POR circuit сработала, нагрузка упала , напруга вернулась в нужный диапазон, POR circuit включила плис и нам даже не узнать причину. Замкнутый круг. Состояние POR нигде отследить не предоставлено. А играться задержкой 12/100 - как мертвому припарка, ведь уже перезагрузились...

Автор: torik Mar 2 2010, 14:18

Модули Enpirion - просто красота. Они не дорогие (всего от 2 баксов в розницу!), маленькие, не надо ничего настраивать и т.п. Но, увы, входное напряжение не выше 5.5В.

Имеет ли право на жизнь двойное преобразование: 12В - 5В с помощью чего-нибудь вроде LMZ14203, а затем куча модулей Enpirion?
Мне не нравится это решение, но все же, как думает народ?

Автор: bambr Apr 24 2010, 19:39

Использую модули LTM460х Нареканий нет. Питание ровное надежное. Очень удобная штука и достаточно компактная.

Автор: georgy31 May 6 2010, 07:58

Подскажите какие пульсации допускаются при питании 5и вольтовых плис серии МАХ 700. Нигде в дашите не нахожу что то.

Автор: Veg@ May 6 2010, 12:00

Объясните, в каких случаях нужно использовать коннекторы Optional Power Supply на DK-NIOS-2S60N (StratixII) ? Нужно ли их задействовать, если, например, у меня подключены платы к Santa-Cruz и/или PMC-коннекторам ?

Автор: Пётр Толкачёв May 16 2010, 11:02

Здравствуйте!
Подскажите, какой максимальный ток потребляет ядро Virtex -5 LX330 ? качнул кучу всяких DSH и WP, в том числе и с xilinx`a , но нигде не встретил заветные цифры... cranky.gif В примерах до 10А источники стоят, но это меньше 15А ядра у virtex-4 и меня это смущает,думаю,что больше может быть...киньте ссылку пожалуйста на документ.
Спасибо.

Автор: Maksim May 16 2010, 15:02

Цитата(Пётр Толкачёв @ May 16 2010, 15:02) *
Здравствуйте!
Подскажите, какой максимальный ток потребляет ядро Virtex -5 LX330 ? качнул кучу всяких DSH и WP, в том числе и с xilinx`a , но нигде не встретил заветные цифры... cranky.gif В примерах до 10А источники стоят, но это меньше 15А ядра у virtex-4 и меня это смущает,думаю,что больше может быть...киньте ссылку пожалуйста на документ.
Спасибо.

http://electronix.ru/redirect.php?http://focus.ti.com/analog/docs/refdesignovw.tsp?familyId=64&contentType=2&genContentId=34816

Автор: cioma May 17 2010, 15:06

Мы используем вот такие интересные DC/DC: http://electronix.ru/redirect.php?http://www.intersil.com/zilkerlabs/

Автор: Пётр Толкачёв May 17 2010, 17:29

Цитата(Maksim @ May 16 2010, 19:02) *

Ура!Спасибо!
to cioma : Мне как-то на ум POLA приходят сразу...

Автор: torik May 19 2010, 05:39

Цитата
Мы используем вот такие интересные DC/DC: http://electronix.ru/redirect.php?http://www.intersil.com/zilkerlabs/

Чё в них такого интересного? Индуктяшка внешняя, дорогие, куча выводов...
Разве что модули ISL82xx еще ничего.

Автор: cioma May 19 2010, 06:39

Все от задачи зависит. Основной плюс DC/DC от Zilker - это цифровая реализация части контура компенсации, а также оооочень гибкое управление по I2C. У нас на плате стоят 5 таких преобразователей и мы можем легко их конфигурировать и управлять (например, разнести их синхронизацию по разным фазам одного сигнала)

Автор: dinam May 19 2010, 07:00

Правильно ли я понимаю, что кому нужны большие токи скоро могут попробовать http://electronix.ru/redirect.php?http://www.platan.ru/news/news.shtml?code=1229?

Автор: grujic May 24 2010, 00:34

For small boards I have used MIC23250 (with adjustable voltage).
It provides two DC/DC converters in 2.5 mm x 2.5 mm package smile.gif
Rated current is 400 mA per output.

It is very small and the whole power supply can be built on a very small area.

Автор: torik Jun 3 2010, 06:59

Цитата
For small boards I have used MIC23250 (with adjustable voltage).
It provides two DC/DC converters in 2.5 mm x 2.5 mm package smile.gif
Rated current is 400 mA per output.

Отличная штука и стоит не дорого!

Автор: Veg@ Jul 27 2010, 12:58

Объясните, в каких случаях нужно использовать коннекторы Optional Power Supply на DK-NIOS-2S60N (StratixII) ? Нужно ли их задействовать, если, например, у меня подключены платы к Santa-Cruz и/или PMC-коннекторам ?

Автор: dinam Aug 24 2010, 07:09

Хочу попробовать http://electronix.ru/redirect.php?http://www.analog.com/en/power-management/switching-regulators-integrated-fet-switches/adp2114/products/product.html в том числе и для питания FPGA и другой "цифры". Для этого применения недостатков вроде не заметил. Это неплохой 2х канальный синхронный стабилизатор. Из плюсов - высокий КПД, возможность задавать ряд фиксированных выходных напряжений одним 5% резистором, каналы работают в противофазе, их можно объединить, различные частоты работы, наличие выводов power good. Есть небольшие разногласия между datasheet и http://electronix.ru/redirect.php?http://download.analog.com/PMP/ADP2114_16_BuckDesigner.zip.

Автор: deus Sep 9 2010, 14:05

Добрый день. Первый раз пришли платы с микросхемой Stratix III (EP3SL50F780C2N). При этом, шина питания ядра VCC=VCCL = 1,1 В звонится на 0 В через сопротивление 3,7 и 4,5 Ом в разных платах. Это нормально?

Автор: Kuzmi4 Sep 9 2010, 14:17

2 deus
а сколько ампер должна кушать ваша железяка ?
Это я к тому что если на этом питании сидит есчё ктото сильно прожорливый то не всё так плохо.. (У меня было 10 ом с 2.5В питания циклона на землю, если тестером мерять wink.gif )

Автор: deus Sep 9 2010, 15:10

2 Kuzmi4
Товарищ сидит довольно прожорливый. В PowerPlay Analyser в Quartus по питанию ядра (1,1 В) дается в сумме примерно 1 Вт = 676 мВт (dynamic) + 272 мВт (static dissipation).

Автор: Ivan1502 Oct 9 2010, 18:16

Столкнулся с проблемой: плата питается от USB 5В, spartan3 (основную часть тока на плате кушает spartan) питается от линейных стабилизаторов LM1117, 1,2 В от регулируемого с управляющей ногой на земле (дает1,25В). Буферы I/O 3,3В. В момент вкл-я ПЛИС потребляет ток более 100мА, хост контроллер USB ограничивает ток 100 миллиамперами по стандарту usb. В результате по непонятным причинам плисина вешает ноги VCCO (3.3В) на землю и ток достигал 1,5А, соответственно плис раскалялась и одну я так сжег. Мерил напряжения на стабилизаторах в момент закоротки - 1,2 и 2,5В в норме, токи по ним тоже. 3,3В падала примерно до VCCAUX 2,5В. При питании от китайского паршивого адаптера все работало прекрасно, от лабораторного БП тоже, при попытке запитать от 4-х аккамуляторов Ni-Mg типа AA, у которых ток КЗ не один ампер - плата опять коротилась, от компьютерного БП коротилась. Причем заметил-если быстро многократнго вкл-выкл-вкл... питание-плис запускалась.

Я могу хоть как-то понять проблемы от питания по usb, который каким то образом пытался ограничить ток (причем безуспешно, эта проблема наблюдалась на всех тестируемых компах), но почему от аккамуляторов и компьютерного БП, у которых ток большой, происходили такие волшебства? Все вышеописанное наблюдалось на 2-х идентичных платах.

Никто не знает корня проблемы? crying.gif

Автор: sazh Oct 9 2010, 18:45

Цитата(Ivan1502 @ Oct 9 2010, 21:16) *
от компьютерного БП коротилась.


Чтобы он стабилизировал, ему нагрузку в амперах надо давать.

Автор: tAmega Oct 9 2010, 19:41

Там есть последовательность запуска стабилизаторов, но в принципе нарушение порядка подачи питания не должно вот так сжигать ПЛИС.
Возможно дело в начальных установках IO выводов. В проекте надо посмотреть, какие начальные установки. По хорошему если ПЛИС подключается к USB, надо все выходы привести в Z состояние по умолчанию, чтобы ПЛИС в первый момент потребляла минимум. После того, как ПЛИС по USB договорится о питании в 500ma с хостом, активировать выходы управления внешними устройствами.
Про компьютерный БП правильно написали, без нагрузки у него вместо 5В может быть 6, а вместо 12, 11 вольт. Сделайте небольшую спиральку от утюга, на 1 Ом и воткните ее на 5В блока питания, этого хватит чтобы он надежно заводился, а уже после включения, скажем через 1 секунду, подавайте стабилизированное 5В от компьютерного блока на Вашу плату с ПЛИС.

Автор: Ivan1502 Oct 9 2010, 21:18

Компутерный БП дает 5В и без нагрузки-проверял, да и если бы было 6В - все равно стоят линейные стабилизаторы, суть не в этом (при питании от аккумов то проблема оставалась). В документации написано про порядок подачи питания - нельзя вроде подавать на ядро раньше чем на I/O, но у меня одновременно стартуют стабилизаторы. Начальное состояние I/O определяется ногой H_SWAP, если не ошибаюсь. У меня ноги до конфигурирования в Z состоянии находятся.

PS с usb общается аппартный контроллер, плис лишь согласовывает интерфейс между моим устройством и контроллером. В новой ревизии управление подачей питания будет управляться контроллером и можно будет поиграться порядком включения стабилизаторов. Попаду в лабораторию-попробую посмотреть нарастание напряжения на линиях 3,3В и 1,2В на осциллографе друг относительно друга. Может по времянкам не проходят...

Автор: alexPec Oct 9 2010, 22:50

А на IO во время включения (до того как плис сконфигурится) на выводы не может попасть больше VCCIO (ну или меньше земли)? У меня с цыклоном первым похожая ерунда была - решилось установкой внешних диодов на + и землю на критические ноги.

Автор: sazh Oct 10 2010, 06:58

Цитата(Ivan1502 @ Oct 10 2010, 00:18) *
У меня ноги до конфигурирования в Z состоянии находятся.


Так может все после успешного конфигурирования начинается. С чего это потребление до амперов возрастает.
Типа эффекта защелки. Может быть проблемы в окружающей среде. Входы - выходы. Конфликты.
Что мешает копеечную буферизацию по входам выходам реализовать. Кристалл точно не пострадает.

Автор: alexPec Oct 10 2010, 07:07

Цитата
У меня ноги до конфигурирования в Z состоянии находятся.


У циклона тоже так же было, ноги в Z при старте, подключены к PCI. В доке даже было написано, что надо ставить диоды, если сигналы PCI могут придти раньше, чем кристалл сконфигурируется.

Автор: sazh Oct 10 2010, 08:07

Цитата(alexPec @ Oct 10 2010, 10:07) *
У циклона тоже так же было, ноги в Z при старте, подключены к PCI. В доке даже было написано, что надо ставить диоды, если сигналы PCI могут придти раньше, чем кристалл сконфигурируется.


В доке написано, что у циклона есть эти диоды. Но активируются они только после конфигурации кристалла.
Поэтому при использовании кристалла не терпимого к 5 вольтам ( на 5 вольтовом PCI) ставят преобразователи уровней.

Автор: alexPec Oct 10 2010, 08:38

Цитата(sazh @ Oct 10 2010, 12:07) *
В доке написано, что у циклона есть эти диоды. Но активируются они только после конфигурации кристалла.
Поэтому при использовании кристалла не терпимого к 5 вольтам ( на 5 вольтовом PCI) ставят преобразователи уровней.

А, ну да, кристал был цыклон, PCI был пятивольтовый, ноги цеплял к писиай через резистор низкоомный, а чтобы не горела микросхема, пока не сконфигурирована, ставил дублирующие диоды снаружи.

Автор: Ivan1502 Oct 10 2010, 11:26

Цитата
Так может все после успешного конфигурирования начинается. С чего это потребление до амперов возрастает.
Типа эффекта защелки. Может быть проблемы в окружающей среде. Входы - выходы. Конфликты.

Начинается сразу после подачи питания. VCCO вешается на землю внутри кристалла.
Сигналы приходят на спартан до его конфигурирования, но по уровню меньше земли или выше VCCO быть не могут.

Цитата
А на IO во время включения (до того как плис сконфигурится) на выводы не может попасть больше VCCIO (ну или меньше земли)? У меня с цыклоном первым похожая ерунда была - решилось установкой внешних диодов на + и землю на критические ноги.

А в чем назначение таких диодов? И не очень понял как они подсоединяются.

PS ноги пробовал до конфигурирования делать и в Z состоянии и pull-up активизировать.

Автор: alexPec Oct 10 2010, 15:24

Цитата(Ivan1502 @ Oct 10 2010, 15:26) *
А в чем назначение таких диодов? И не очень понял как они подсоединяются.

Назначение как раз в том, чтобы на ноге напряжение не поднималось выше питания IO и не опускалось ниже земли. Один диод подключаетя между ногой и плюсом питания, второй- между той же ногой и землей. Я использовал сборки из 2-х шотки диодов.
Может в момент включения бросок какой появляется? Больше в голову ничего пока не приходит. Последовательность подачи питания - так по крайней мере с альтерой я никогда незаморачивался, тупо ставил импульсные или линейные стабилизаторы и не притормаживал никак подачу питаний.

Цитата
PS ноги пробовал до конфигурирования делать и в Z состоянии и pull-up активизировать.

Не в курсе про ксайлинкс, работаю с альтерой, а у него(ксайлинкса) разве можно ДО конфигурирования как-то pull-up активизировать

Автор: #_Alec_# Oct 18 2010, 10:48

Используем Traco Power
POL (Point-of-Load) Switching Regulators
http://electronix.ru/redirect.php?http://www.tracopower.com/POL-Point-of-Load.210.0.html

так же собираем на Maxim-мовских (MAX1655, MAX1745) россыпью

Автор: #_Alec_# Oct 19 2010, 10:11

Цитата(alexPec @ Oct 10 2010, 19:24) *
Не в курсе про ксайлинкс, работаю с альтерой, а у него(ксайлинкса) разве можно ДО конфигурирования как-то pull-up активизировать

у Спартанов
чтобы их (pull up) активизировать во время конфигурации кристалла - надо на ножку HSWAP_EN на землю посадить.

Автор: Serhiy_UA Nov 4 2010, 06:40

Цитата(Iptash @ Nov 16 2008, 17:40) *
Доброго всем здравия.

Я для питания ПЛИС да и для др. использую диоды. Допустим какое то устройство сделанное на
EPM3... должно работать на ISA шине где есть 5в., для питания девайса я ставлю два последовательно
диода(например 1N4007), а как мы знаем падение напряжения на диоде состовляет 0,7в т.е.
получается 5-1,4=3,6в. ну и так далее для любого девайса. Ток это максимальный прямой ток
данного диода.

Вариант применения гасящих диодов, в некоторых случаях, видится не таким уж и плохим. Если не прав, то поправьте: пока их не применял, а хотел бы знать аргументированное мнение.

Например, для получения 1.2В, ставим 2-3 диода, а потом линейный стабилизатор LDO с малым падением напряжения. Это может быть лучше, чем например, два последовательных LDO (два, в данном случае, чтобы лучше отводить тепло).

При условиях: когда есть запас мощности и кпд не важен, на плате есть место, и рядом есть аналоговые узлы, которым вредны импульсные преобразователи.


В приложении интересный документ от National Semiconductor, в частности таблица "Power Supply Solutions for FPGAs", а также ссылки "Application notes and articles on
powering FPGAs"

 national_power_management_low_power_xilinx_fpga.pdf ( 615.44 килобайт ) : 438
 

Автор: Art55555 Nov 17 2010, 06:47

Подсчитываю потребление платы. Хотел посоветоваться, правильно-ли я все делаю. На плате две плисины: Spartan3an-200, Virtex4 LX25 и АЦП LTC2208. Подсчитал суммарный ток по каждому номиналу напряжения. I(1.2V)=4A, I(3.3V)=1.3A, I(2.5V)=0.5A, I(1.8V)=0.5A. Входное питание 5В. Под напряжение 1,2 В присматриваюсь к стабилизатору PTH0505 (6А). Кто-нибдь спользовал такой? Как впечатления? Какие стабилизаторы посоветуете под остальные номиналы? Каким стабилизатором питать АЦП (3.3 В), линейным или импульсным?

Автор: rloc Nov 17 2010, 08:00

Цитата(Art55555 @ Nov 17 2010, 09:47) *
I(1.2V)=4A ... Под напряжение 1,2 В присматриваюсь к стабилизатору PTH0505 (6А).

Греться будет сильно, мощность рассеяния при этом токе ~0.8Вт

Автор: vadimuzzz Nov 17 2010, 08:08

Цитата(Art55555 @ Nov 17 2010, 12:47) *
Каким стабилизатором питать АЦП (3.3 В), линейным или импульсным?

линейным

Автор: Art55555 Nov 17 2010, 08:10

Цитата(rloc @ Nov 17 2010, 11:00) *
Греться будет сильно, мощность рассеяния при этом токе ~0.8Вт


4A это максимальный вариант, по факту будет 2.5-3 А. Вы какой стабилизатор советуете?

Автор: rloc Nov 17 2010, 10:01

Цитата(Art55555 @ Nov 17 2010, 11:10) *
4A это максимальный вариант, по факту будет 2.5-3 А. Вы какой стабилизатор советуете?

PTH08T241W

Автор: Art55555 Nov 17 2010, 14:56

Цитата(rloc @ Nov 17 2010, 11:00) *
PTH08T241W


По даташиту у этого стабилзатора такая же мощность рассеяния


Цитата( 'vadimuzzz')
линейным


Питание АЦП -3.3В, такое же питания у банков ПЛИС. Можно питать АЦП и ПЛИС от одного стабилизатора или лучше поставить один на АЦП, второй на ПЛИС?

Автор: vadimuzzz Nov 17 2010, 15:28

Цитата(Art55555 @ Nov 17 2010, 20:56) *
Питание АЦП -3.3В, такое же питания у банков ПЛИС. Можно питать АЦП и ПЛИС от одного стабилизатора или лучше поставить один на АЦП, второй на ПЛИС?

АЦП запитать отдельным стабилизатором, иначе грохните ему все параметры. для ПЛИС линейник не нужен.

Автор: rloc Nov 17 2010, 16:13

Цитата(Art55555 @ Nov 17 2010, 17:56) *
По даташиту у этого стабилзатора такая же мощность рассеяния

Как я вижу, даже по КПД в диапазоне 2-4А разница на 3-5%, ну и соответственно по мощности тепловыделения - на 0.2Вт

Автор: Art55555 Nov 18 2010, 11:12

Ещё пару вопросов.
1. Подключаю АЦП LTC2208 к ПЛИС Spartan-3. Выход АЦП: LVDS или CMOS.Смотрел Spartan-3 FPGA Family: DC and Switching Characteristics, но так и не смог разобраться к какому напряжению подключать банк ПЛИС, куда приходит шина с АЦП 3.3 или 2.5 В?
2. Расчитал токи. Получилось: ядра ПЛИС - I(1.2)=4 A; Vcco,Vccaux и еще пару микросхем - I(1.8В)=0.5A, I(2.5В)=0.6A, I(3.3В)=0.6A . Uвх=5В. На 1.2 В однозначно ставлю импульсник(6А). Можно-ли на остальные номиналы поставить линейники c max током 0.8А, например ld1117?

Автор: Art55555 Nov 22 2010, 09:05

Разрабатываемая плата должна быть малогабаритной и иметь минимальное тепловое излучение, вследствие этого, предполагается перенести питающую часть схемы (стабилизаторы питания с обвязкой) на другую плату, которая будет соединяться с основной экранированными кабелями, т.е на плату1 заходит 5В, стабилизаторами делаем: 1.2В, 2.5В, 1.8В, 3.3В и передаем кабелями на плату2. Возможно-ли такое? Кто-нибудь делал это?

Автор: vadimuzzz Nov 22 2010, 09:17

Цитата(Art55555 @ Nov 22 2010, 15:05) *
Разрабатываемая плата должна быть малогабаритной и иметь минимальное тепловое излучение, вследствие этого, предполагается перенести питающую часть схемы (стабилизаторы питания с обвязкой) на другую плату, которая будет соединяться с основной экранированными кабелями, т.е на плату1 заходит 5В, стабилизаторами делаем: 1.2В, 2.5В, 1.8В, 3.3В и передаем кабелями на плату2. Возможно-ли такое? Кто-нибудь делал это?

а смысл? 1. потребление у вас большое 2. почти все преобразователи - импульсники, с хорошим КПД 3. основное тепло рассеется на потребителях.

ну, перенесете преобразователи, грубо 10-15% по теплу. и ради этого еще 1 плату делать?

Автор: Art55555 Nov 29 2010, 08:42

Цитата(vadimuzzz @ Nov 17 2010, 18:28) *
АЦП запитать отдельным стабилизатором, иначе грохните ему все параметры. для ПЛИС линейник не нужен.


У АЦП (LTC2208) по даташиту 2 вида питания: аналоговое и цифровое (3.3 В).
Отсюда, 2 варианта подключения:
1) Питание для аналоговой и цифровой частей АЦП общее.
2) Разделять питание. У аналоговой части свой стабилизатор. Нужно ли в этом случае ставить отдельный стабилизатор для цифрового питания или же можно воспользоваться питанием, которым запитывается цифровая часть платы (ПЛИС и другие микросхемы)
Как лучше сделать?


Автор: vadimuzzz Nov 29 2010, 09:06

Цитата(Art55555 @ Nov 29 2010, 14:42) *
У АЦП (LTC2208) по даташиту 2 вида питания: аналоговое и цифровое (3.3 В).
Отсюда, 2 варианта подключения:
1) Питание для аналоговой и цифровой частей АЦП общее.
2) Разделять питание. У аналоговой части свой стабилизатор. Нужно ли в этом случае ставить отдельный стабилизатор для цифрового питания или же можно воспользоваться питанием, которым запитывается цифровая часть платы (ПЛИС и другие микросхемы)
Как лучше сделать?

Почитайте статьи в Analog Dialogue, там все это подробно расписано. вкратце: analog и digital - это обозначения по отношению к потрохам микросхемы (обычно digital - это питание выходных буферов) и это ни в коем случае не означает, что digital можно цеплять к питанию ПЛИС (развязки внутри м/сх АЦП хреновые, а чувствительность аналоговой части большая). рассматривайте АЦП (ЦАПы) как чисто аналоговые схемы, питания обязательно от остальной части схемы изолируйте через линейные (желательно малошумящие) стабилизаторы. насчет 2 и более стабилизаторов - тут сложнее. если АЦП мало потребляющий и питание на него нужно одно (3,3В например) - можно обойтись одним стабилизатором (я у себя в приемнике так и делаю). но иногда питающих несколько, либо есть сильножрущие блоки - тогда разделить.
upd: да, все сказанное касается и клока, а также опорного напряжения для АЦП. если генератор опорного напряжения внешний, предусмотрите хороший ФНЧ на операционнике.

Автор: Art55555 Dec 7 2010, 07:09

Цитата(art55555)
Разрабатываемая плата должна быть малогабаритной и иметь минимальное тепловое излучение, вследствие этого, предполагается перенести питающую часть схемы (стабилизаторы питания с обвязкой) на другую плату, которая будет соединяться с основной экранированными кабелями, т.е на плату1 заходит 5В, стабилизаторами делаем: 1.2В, 2.5В, 1.8В, 3.3В и передаем кабелями на плату2. Возможно-ли такое? Кто-нибудь делал это?



Все-таки решили разделить платы. Выбрали разъем (на рис. мама и папа). Подключаем следующим образом: 2 пина питания, затем 2 пина земля и т.д. Какие провода советуете исрользовать? Какие наводки можно ожидать на приемном конце, как с ними бороться и как все это дело лучше отфильтровать?

 

Автор: vadimuzzz Dec 10 2010, 08:45

в тех доках, что я выше ссылался, рекомендуют минимум 30% контактов отдать под землю, питание
обязательно дублировать, разделять земляными пинами. возле разъема ставить ферритовые бусины
и кондеры. аналоговое питание подальше от цифрового. провод у нас МГТФ в ходу.

Автор: Victor® Dec 10 2010, 09:50

Цитата(Art55555 @ Dec 7 2010, 10:09) *
Все-таки решили разделить платы. Выбрали разъем (на рис. мама и папа). Подключаем следующим образом: 2 пина питания, затем 2 пина земля и т.д. Какие провода советуете исрользовать? Какие наводки можно ожидать на приемном конце, как с ними бороться и как все это дело лучше отфильтровать?


Не впечатляет это разъем совсем... контакты луженые что-ль?
Я б такой вообще не использовал.
Не хотите проблем - ставьте, например что-то из Samtec.

Автор: sup-sup Dec 25 2010, 17:33

Прошу консультации про питание CycloneIII (25, 144pin).
Питание сделал от внешнего 3.3V. Внутри платы импульсный NCP1529ASNT1G на 1.2V. 2.5V для PLL беру от 3.3V через диод LL4148. Все вначале работает (по JTAG конфигурируется и работает тестовая схема, также программируется EPCS16SI8N и потом работает. Потребление по 3.3V (общее, включая осциллятор на 50 МГц) 60-80 mA. Но проходит пара часов и при очередном включении питания тестовая прошивка не работает (светодиод не моргает). Обнаружил признак, что при этом напряжение 1.2V повышается до 2V (примерно). И еще, резко понижается сопротивление между линиями 1.2V и 2.5V. Дальше, если плата полежит час - другой, работоспособность восстанавливается. Эта ситуация проявляется на всех трех платах.
Добавил конденсаторов по питанию, проверил припаян ли GND_PAD.

Автор: sup-sup Dec 27 2010, 10:06

Цитата(sup-sup @ Dec 26 2010, 00:33) *
Прошу консультации про питание CycloneIII (25, 144pin).
Питание сделал от внешнего 3.3V. Внутри платы импульсный NCP1529ASNT1G на 1.2V. 2.5V для PLL беру от 3.3V через диод LL4148. Все вначале работает (по JTAG конфигурируется и работает тестовая схема, также программируется EPCS16SI8N и потом работает. Потребление по 3.3V (общее, включая осциллятор на 50 МГц) 60-80 mA. Но проходит пара часов и при очередном включении питания тестовая прошивка не работает (светодиод не моргает). Обнаружил признак, что при этом напряжение 1.2V повышается до 2V (примерно). И еще, резко понижается сопротивление между линиями 1.2V и 2.5V. Дальше, если плата полежит час - другой, работоспособность восстанавливается. Эта ситуация проявляется на всех трех платах.
Добавил конденсаторов по питанию, проверил припаян ли GND_PAD.

А возможно, что это 'серые' ИС (так как они по $25 за штуку достались)?
Повторные работы показывают, что линии питания 1.2 - 2.5 и 3.3 V между собой дают сильную утечку по мере применения по времени работы.

Автор: vetal Dec 27 2010, 10:29

Цитата
Обнаружил признак, что при этом напряжение 1.2V повышается до 2V (примерно).

Попробуйте повесить дополнительную нагрузку на цепь 1,2В - порядка 10 Ом.

Цитата
Повторные работы показывают, что линии питания 1.2 - 2.5 и 3.3 V между собой дают сильную утечку по мере применения по времени работы.

Проверяйте монтаж и качество отмывки ПП.

Автор: sup-sup Dec 27 2010, 12:24

Цитата(vetal @ Dec 27 2010, 16:29) *
Попробуйте повесить дополнительную нагрузку на цепь 1,2В - порядка 10 Ом.
Проверяйте монтаж и качество отмывки ПП.

Нагрузку вешал 100 Ом. Утечка бывает до 5-15 Ом (что тестер показывает). Но потом сопротивление повышается. Такое впечатление, что где-то внутри затворы болтаются как попало. Флюс не отмывал - флюс ТТ (индикаторный флюс-гель, написано что для SMD монтажа, красный)

Автор: halfdoom Dec 28 2010, 01:10

Цитата(sup-sup @ Dec 27 2010, 19:24) *
Флюс не отмывал - флюс ТТ (индикаторный флюс-гель, написано что для SMD монтажа, красный)

Тут ведь даже тем отдельная есть, про чудеса с этим флюсом.

Автор: alevnew Dec 28 2010, 01:41

Цитата(sup-sup @ Dec 27 2010, 21:24) *
Нагрузку вешал 100 Ом. Утечка бывает до 5-15 Ом (что тестер показывает). Но потом сопротивление повышается. Такое впечатление, что где-то внутри затворы болтаются как попало. Флюс не отмывал - флюс ТТ (индикаторный флюс-гель, написано что для SMD монтажа, красный)

Да, уж, памятны воспоминания про этот флюс. Внезапно образуются токопроводящие пермычки, сопротивление 10-20 Ом. Сначала все работает, потом резко перестает. В общем, нужно промыть плату хорошо. Я эти флюсом больше не пользуюсь.
Вообще он для пайки в печке - нейтрализуется после прогрева (поэтому как бы и не требует промывки). Для пайки паяльником не подходит.

Автор: sup-sup Dec 28 2010, 02:21

Цитата(alevnew @ Dec 28 2010, 08:41) *
Да, уж, памятны воспоминания про этот флюс. Внезапно образуются токопроводящие пермычки, сопротивление 10-20 Ом. Сначала все работает, потом резко перестает. В общем, нужно промыть плату хорошо. Я эти флюсом больше не пользуюсь.
Вообще он для пайки в печке - нейтрализуется после прогрева (поэтому как бы и не требует промывки). Для пайки паяльником не подходит.

Спасибо, не ожидал такого от флюса. Проверю.

Автор: sup-sup Dec 28 2010, 08:19

Цитата(sup-sup @ Dec 28 2010, 09:21) *
Спасибо, не ожидал такого от флюса. Проверю.

Промыл СБС, нагрел феном, опять промыл, опять высушил - пока нормально.
Никогда так хорошо не работала!!!
Может быть, эластосилом покрыть для стабилизации?

Автор: sup-sup Dec 29 2010, 14:45

Собрал еще одну плату (без применения пресловутого ТТ) - работает стабильно. И одна из трех плат второй день работает стабильно (отмывается очень сложно - три раза мыл и сушил).
Спасибо за ценнейшую информацию!

Автор: Mike18 Jan 15 2011, 00:16

Вот так выбираешь, выбираешь... Минимизируешь, минимизируешь...
Оптимизируешь... "А потом - бац! - вторая смена!.."(С) crying.gif

Это я в кои-то веки по http://electronix.ru/redirect.php?http://www.ti.com/ww/ru/analog/tps82671/index.shtml?DCMP=hpa_pwr_tps82&HQS=Sample+BA+tps82-bsru.
Что особенно "обидно", даже производитель тот же... rolleyes.gif

Автор: BlackOps Jan 17 2011, 01:46

планирую использовать импульсные чипы питания от Линеар Технолоджи. Такая вот сложная проблема выбора стоит: плата будет использоваться от батарей...причем возможны разные варианты батарей, в основном 3.7в, и выше... причем добавлятся могут батареи такого же напряжения.... максимально возможное напряжение 22.8в

мне надо 1.2в, 1.8в, 2.5в, 3.3в, 12в.... так вот... все элементы на плате... вклычая спартан6. Я думал использовать так:
ЛТ1913 дает ЛТЦ3546 5в, а тот выдает 1.2в и 2.5в.
другой ЛТ1913 дает 5в следующему ЛТЦ3546 а тот дает 1.8в и 3.3в
далее, третий ЛТ1913 дает чистые 12в...

вобщем....придерживаясь Линеар Технолоджи с моими ограничениями данная конфигурация вроде как кажется оптимальной... тем не менее... незнаю хотелось бы посмотреть может что лучше придумать можно?
Плюс ко всему.. паять буду сам в печке.. а ЛТ1913 и сам вобше очень маленький, 3 на 3 мм. Есть какие идеи конфигурации по питанию?

п.с. в симуляторе Линеар, во время старта от батареи скажем 11.1в, наблюдается резкий скачок тока... порядка 7А, который падает до 4А примерно на 5-й миллисекунде... вопрос, данный ток может испортить диод который у меня на входе для защиты от неверной полярности? (диод сам 4А характеристику имеет)

спасибо

Автор: dinam Jan 17 2011, 02:47

ADP2114 сильно похожа на LTC3546, но скорее всего подешевле. А в место диода наверно лучше полевик.

Автор: BlackOps Jan 17 2011, 08:27

спасибо!, но систему на Линеар Технолоджи нужно будет ставить... тем более что функциональность того АДП чипа та же... т.е. вобщем никакого преимущества.

кто что может сказать по поводу высокого тока в момент включения на протяжении 4 миллисекунд?

Автор: Ant_m Jan 17 2011, 09:31

Цитата(BlackOps @ Jan 17 2011, 04:46) *
п.с. в симуляторе Линеар, во время старта от батареи скажем 11.1в, наблюдается резкий скачок тока... порядка 7А, который падает до 4А примерно на 5-й миллисекунде... вопрос, данный ток может испортить диод который у меня на входе для защиты от неверной полярности? (диод сам 4А характеристику имеет)

А почему диод без запаса по току используете? Он наверное и греется хорошо?
Диоды допускают кратковременную перегрузку, но это 120-140%. Если выше то у них нормируется однократная перегрузка после которой он еще может работать.

Про ADP2114 почти... Сейчас использую ADP2107, очень похоже что это половинка 2114. Впечатления самые хорошие. Шум меньше 40мВ при нагрузке на самый толстый Spartan 3.

Автор: Силаев Jan 18 2011, 22:56

У National на сайте есть сервис по подбору стабилизаторов
окно WEBENCH® Designer далее закладка FPGA, там выбрать производителя далее откроется WEBENCH® Power Architect
Очень удобная штука. предлагает различные подборки стабилизаторов для различных моделей FPGA
можно оптимизировать по цене эффективности.
Расчетами потребления в экселе более не занимаюсь.

По теме для Spartan3 (xc3s50...xc3s400) использую:
входное 12В на плату
VCCINT 12В->1.2В - LM3102(2.5А) или LM3100(1.5А) или LM3103(0.75А)
VCCIO 12В->3.3В - зависит от проекта, часто у меня нет потребления на выводах поэтому LM3103(0.75А)
VCCAUX 3.3В->2.5В - ADP3330 2.5 (LDO 250mA) или для дешевизны, если место есть LM1086 2.5

сейчас сделал новые платы стабилизаторов для совсем небольших проектов, чтоб еще дешевле
входное 12В на плату
понижение до 4.5В LM3102(2.5А)
далее
VCCINT 1.2В - LM1085adj
VCCIO 3.3В - LM1086 3.3
VCCAUX 2.5В - LM1086 2.5

Автор: BlackOps Jan 24 2011, 21:05


спасибо..

Автор: arhiv6 Feb 7 2011, 10:21

Силаев спасибо, удобная штука. Но, к сожалению, нужного мне Stratix III там нет.

Автор: SFx Jul 5 2011, 13:33

Задам тут вопрос.
ноги VCCINT=1.0 у Xilinx V5VLXT110T. Прозвонка к земле тестером показывает 6.8 Ома. Терзают смутные сомнения, а не КЗ ли это?

Кстати попутно, каким измерительным прибором Вы пользуетесь для подобных измерений, слышал страшилки как китайскими тестерами жгли ноги при прозвонке IO3.3V напряженим 9В....

Автор: _Anatoliy Jul 5 2011, 13:54

Цитата(SFx @ Jul 5 2011, 15:33) *
Задам тут вопрос.
ноги VCCINT=1.0 у Xilinx V5VLXT110T. Прозвонка к земле тестером показывает 6.8 Ома. Терзают смутные сомнения, а не КЗ ли это?

Кстати попутно, каким измерительным прибором Вы пользуетесь для подобных измерений, слышал страшилки как китайскими тестерами жгли ноги при прозвонке IO3.3V напряженим 9В....

У меня на рабочей плате - 4,2Ом XC5VSX50T ....

Автор: Ant_m Aug 5 2011, 05:25

XC5VLX50T сопротивление 29 Ом
XC6SLX150T одна плата 120 Ом, другая 140 Ом. Платы одинаковые.

Автор: maxics Nov 22 2011, 11:36

Хочу поставить на плату 2-х канальный U-Модуль от Linear Technology: LTM4619. На сайте к нему есть пример дизайна - PCB файл. Кто-нибудь знает чем его открыть?

Автор: Wic Nov 22 2011, 15:39

Цитата(maxics @ Nov 22 2011, 18:36) *
Хочу поставить на плату 2-х канальный U-Модуль от Linear Technology: LTM4619. На сайте к нему есть пример дизайна - PCB файл. Кто-нибудь знает чем его открыть?

PCB это печатная плата PCAD или altium. Думаю, на торрентах найдете, чем отрыть.
PS если будете качать altium а файл окажется PCAD, возможно придется сделать импорт.

Автор: maxics Nov 22 2011, 16:37

Цитата(Wic @ Nov 22 2011, 18:39) *
PCB это печатная плата PCAD или altium. Думаю, на торрентах найдете, чем отрыть.
PS если будете качать altium а файл окажется PCAD, возможно придется сделать импорт.


Пробовал открыть Ментором (Expedition), P-Cad и PCB Viewer. Не открыл. Можно-ли по файлу узнать в какой среде он сделал. Выкладываю файл.

 1453A.pcb ( 853.37 килобайт ) : 60
 

Автор: dinam Nov 23 2011, 01:40

Если верить AutoVue Electro-Mechanical Professional 20.0.0, то PADS PowerPCB Binary 2007.

Автор: maxics Nov 23 2011, 11:35

У кого-нибудь есть опыт использования LTM4619 (2-х канальный U-Модуль от Linear Technology по 4А каждый выход)? Правильно-лт я выбрал схему питания?
На плате будут установлены две ПЛИС Spartan 6 LX75 и Spartan 3 200an. Загрузка ПЛИС планируется около 70%. Также будет PHY Ethernet и одна АЦП. Вход 12В из него с помощью LTM4619 делаю 1.2 В (питание ядер ПЛИС) и 5В. Затем из 5 В планирую с помощью стабилизаторов Enpirion сделать остальные номиналы (1.8, 2.5, 3.3).
У кого-нибудь есть опыт использования LTM4619 (2-х канальный U-Модуль от Linear Technology по 4А каждый выход)? Правильно-лт я выбрал схему питания?

Автор: maxics Dec 1 2011, 07:43

Остановился на модулях LTM4600.
Одним буду делать 1.2 В (питание ядра ПЛИС).
Вторым 5В. Далее из 5 В с помощью трех модулей ENPIRION и одного линейника (питание АЦП) сделаю номиналы 1.8, 2.5, 3.3 В.
Пугает параметр PEAK TO PEAK(mV) - 375.
Кто пользовался данными модулями? Какое впечатление, особенности подключения?
Потребление по 1.2 и 5 В примерно 3 А. Сильно будут греться?

Автор: Силаев Dec 11 2011, 16:45

Питание микросхем лучше сделать раздельно. Свои стабилизаторы на LX75, и свои на 200an.
Как будет греться можно посчитать. пиковое потребление у вас 5*3 = 15 Вт.
На нагрев стабилизатора пойдет 15Вт * кпд.
Для ацп отдельно свои линейники на каждый номинал (особенно если спектроскопия например).

PEAK TO PEAK(mV) - 375 нормально. У скоростных импульсников всегда так (у National точно проверял).

Про ENPIRION не знаю. Если будете использовать отпишитесь.

Автор: IEC Dec 16 2011, 09:33

Здравствуйте!
Использовал ли кто для питания CYCLONE III NCP3170 . Сделать на ней 3,3В и 1,2В . Нет ли каких подводных камней. В описании нет чтобы использовалась для питания FPGA.

Автор: Hoodwin Dec 27 2011, 07:01

Кстати говоря, по поводу модулей питания Linear Technology. Вот они взяли моду делать LGA для LTM. На первый взгляд кажется, что это почти как BGA, только еще проще, поскольку в случае чего даже re-balling не нужен. На практике с ними наблюдаются странные явления. Например, схожие по технологии модули LTM2881 (гальванически развязанный RS-422/RS-485) вдруг показали выход годных после монтажа на автоматической линии порядка 70%! При этом ручная замена их на конвекционной станции с заведомо худшими возможностями в смысле обеспечения термопрофиля в точке пайки, дозирования пасты и позиционирования чипа выдала 100% результат.

В марте собирали 4 опытных образца модулей, где стоит по три LTM4604. Из 3 первых модулей 2 нормальные, в третьем источник питания пищит. Точнее пищал при включении. Не грелся и не дымил. Пока я пытался разобраться с вопросом, а сколько же он реально выдает, искал щупами контрольные точки (плата мелкая, а источники снизу припаяны), писк ушел. Но осадок, что называется, остался...

Не буду утомлять длинной цепочкой умозаключений по этим поводам, скажу сразу о своих подозрениях. Может быть кто-то более грамотный меня поправит.

Суть такая. Корпус LGA лежит на плате практически вплотную. Но не совсем. Толщина стального трафарета, через которую наносится паста порядка 100-150 мкм, так что после оплавления можно ожидать микрозазора такого же порядка (50-100 мкм). Проблема заключается в том, что после оплавления пасты плата как правило попадает в ультразвуковую ванну с отмывочной жидкостью, которая разлагает остатки флюса и прочую дрянь. Жидкость отмывочная химически активна и должна быть потом смыта водой. Проблема однако в том, что затолкать жидкость ультразвуком в зазор 50-100 мкм легко, а вот вытолкать ее оттуда обратно при полоскании довольно трудно. И в итоге остается эта жижица под корпусом до полного высыхания. В процессе высыхания она может кушать припой на краях КП и создавать всякие электрически активные соединения, которые в промежуточной фазе являются электролитами, обволакивающими соседние контактные площадки схемы. А среди таких площадок имеются, например, и такие, через которые снаружи подключается Rset, которым мы настраиваем номинальное напряжение источника. Да и вообще еще куча паразитных связей возможна, о которых мы просто не подозреваем. К сказанному можно добавить, что зачастую после печки плата попадает на участок ручного монтажа, где на нее ставятся выводные компоненты (разъемы и т.п.). При этом для ручного монтажа используются другие флюсы (возможно активные) и другие средства отмывки. При этом очевидно, что зазор в 50-100 мкм будет как губка впитывать любые жидкости, которые мимо протекали.

Вот тот писк источника, о котором я писал выше, я связываю именно с гипотезой о появлении электролита. Включение привело к тому, что появился нагрев источника и механическое воздействие (звуковое), которые со временем разрушили электролит и тем самым удалили паразитные связи. Как только пропали связи, пропал и звук. И мне еще повезло, что он не сопровождался изменением выходного напряжения источника в большую сторону или его КЗ по выходу. Например, в половине случаев с LTM2881 вторичный источник оказался убитым.

Таким образом, хочу обратить внимание общественности даже не столько на сами источники LTM46*, сколько на технологические капризы их корпусов LGA. Они требуют определенной гигиены, и нужно четко себе представлять какой именно.

Автор: Serhiy_UA Dec 27 2011, 08:07

Цитата(Hoodwin @ Dec 27 2011, 11:01) *
...В марте собирали 4 опытных образца модулей, где стоит по три LTM4604....
Таким образом, хочу обратить внимание общественности даже не столько на сами источники LTM46*, сколько на технологические капризы их корпусов LGA. Они требуют определенной гигиены, и нужно четко себе представлять какой именно.

Своевременные предостережения. Спасибо, Hoodwin, за информацию.
То же собираюсь применить LTM4604A в количестве 3-х штук на модуле: с выходами 1.8В, 1.2В и 0.9В (последний для терминаторов SO-DIMM и Vref). Все на одной стороне вместе с FPGA. Запуск модулей в производство на февраль, надо будет еще сформулировать рекомендации по пайке с LGA pads и очистки от флюса.
Может, кто еще поделится своими соображениями.


Автор: Totoshka Jan 25 2012, 07:06

Добрый день! Занялся изучением ПЛИС, в руки попался Stratix 3! И сразу возник вопрос(искал много где, но ответ так и не нашел): на ПЛИСине есть много ног, например VCCL или же VCCIO, так вот надо ли подключать их все? Если нет, то зачем их так много? Для простоты разводки или если большое потребление тока?) Заранее спасибо!)

Автор: Wic Jan 27 2012, 05:24

Totoshka, вот бы мне стратикс 3 попался в руки для изучения плис)))
Да ноги нужно подключать все, и на питание не экономить, потому как плис потребляет довольно существенный ток (Банки выводов, логика, передатчики). За подробностей стоит почитать документацию по ее питанию.

Автор: Totoshka Jan 30 2012, 10:20

4Wic, спасибо!) В Handbooke по Stratixу уже живу просто wacko.gif !) про GND написано что надо подключить все, а про питание такого не встречал. Надо делать плату, а с корпусом BGA косчяить не получится!)))

Автор: AndrewS6 Feb 1 2012, 09:31

Цитата(Totoshka @ Jan 30 2012, 13:20) *
4Wic, спасибо!) В Handbooke по Stratixу уже живу просто wacko.gif !) про GND написано что надо подключить все, а про питание такого не встречал. Надо делать плату, а с корпусом BGA косчяить не получится!)))

Почитайте еще http://electronix.ru/redirect.php?http://www.altera.com/literature/dp/stx3/PCG-01004.pdf документ внимательно.

Автор: Мур Feb 27 2012, 08:33

Народ, кто владеет информацией о встроенных , якобы, в Спартаны 6 супервизоры питания? Где об этом можно почитать? Явно нигде я об этом не видел...

Автор: ys05 Mar 1 2012, 16:56

А кто-нибудь может порекомендовать отечественный спецстойкий (желательно в не очень больших габаритах) стабилизатор на питание ПЛИС 2.5В и 3.3В (и может 1.8В) из 5В?

Автор: _Serg Mar 6 2012, 04:43

Цитата(ys05 @ Mar 1 2012, 20:56) *
А кто-нибудь может порекомендовать отечественный спецстойкий (желательно в не очень больших габаритах) стабилизатор на питание ПЛИС 2.5В и 3.3В (и может 1.8В) из 5В?


1264ЕР1П1ИМ АЕЯР.431420.352ТУ пр-ва Интеграл
прототип – LT1083

Предназначены для создания стабилизированного выходного напряжения положительной полярности с
номиналами 1,25В; 2,5В; 2,85В; 3,3В; 5В; 9В и 12В в источниках питания электронной аппаратуры
специального назначения. Микросхемы 1264ЕР1ПИМ и 1264ЕР1П1ИМ – регулируемые стабилизаторы с
опорным напряжением 1,25 В и диапазоном регулировки до 12 В.

корпус КТ-97В (TO-254)
ток до 7А
самое маленькое падение напряжения из отечественных LDO
входит в перечень МОП.

Использовал регулируемый для формирования 3,3В из 5В и 1,25В из 3,3В для Cyclone II. Подключал в соотв. с datasheet с сайта производителя. Работает стабильно, в т.ч. на температуре. Использовал, правда, корпус ТО-3.

Существует еще 1310ПН1У пр-ва Миландр, входит в перечень МОП. Корпус - 24-выводной металлокерамический корпус Н06.24-2В. Особенность: импульсный, поэтому необходим дроссель, который либо мотать самим, либо ставить импорт (отечественного с требуемыми параметрами с 5-ой приемкой нет).

Автор: ys05 Mar 6 2012, 16:12

Цитата(_Serg @ Mar 6 2012, 07:43) *
1264ЕР1П1ИМ АЕЯР.431420.352ТУ пр-ва Интеграл

Спасибо, этот как-то прошел мимо меня. Может в итоге и подойдет, но блин, почему так низко нормируется 7К1???

Цитата
Существует еще 1310ПН1У пр-ва Миландр

Этот видел, не хотелось связываться с импульсником, токи небольшие. Сейчас (уже давно) у Миландра идет ОКР и по линейному 1309ЕР1Т, но у него и корпус побольше, и ОКР все не завершится.

Автор: azizcheg Mar 16 2012, 06:52

Добрый день всем.
Может вопрос и дилетантский, но всё же.
Как правильно рассчитать потребляемый ток ПЛИСки?
Надо считать кол-во задействованных ножек и умножать на макс. ток, который она выдерживает?

Автор: des00 Mar 16 2012, 06:54

Цитата(azizcheg @ Mar 16 2012, 01:52) *
Добрый день всем.
Может вопрос и дилетантский, но всё же.
Как правильно рассчитать потребляемый ток ПЛИСки?
Надо считать кол-во задействованных ножек и умножать на макс. ток, который она выдерживает?

провести Power Play моделирование, это самый правильный способ.

Автор: azizcheg Mar 16 2012, 07:04

Цитата(des00 @ Mar 16 2012, 10:54) *
провести Power Play моделирование, это самый правильный способ.

А как сделать PowerPlay. Я про это не слышал. Это в спец. среде какой-то?

Автор: cioma Mar 17 2012, 09:21

В среде разработки для Вашей ПЛИС (Quartus, ISE итп)

Автор: beeplane Apr 13 2012, 05:42

Подскажите, пожалуйста, систему питания ПЛИС, токи до 2А, с перестраиваемыми выходными напряжениями и работающую от температур -60 С. Может у кого-то есть опыт использования при низких температурах. Особенно интересуют конвертеры Enpirion, Micrel.

Автор: Kolia May 4 2012, 12:57

Добрый день, как определить какой VCCIO за какие порты отвечает, на пример в XC95144XL

Автор: Zig May 4 2012, 18:17

Цитата(Kolia @ May 4 2012, 16:57) *
Добрый день, как определить какой VCCIO за какие порты отвечает, на пример в XC95144XL

Судя по http://electronix.ru/redirect.php?http://www.xilinx.com/support/documentation/data_sheets/ds054.pdf на все выводы VCCIO нужно подавать одинаковое напряжение 2.5 или 3.3 В.

Автор: MishaN Jun 2 2012, 20:16

Иногда будет полезными мониторы питания POWER Manager от LATTICE (это по сути дела CPLD но со встроенными компараторами (до 24-х = 12 каналов), АЦП и драйверами MOSFET (для отключения питания). Инетерсная штука получается = все настраивается программно под любые условия, напряжения, задержки, импульсы, горячий/холодный старт и т.д. Да и в разных устройствах можно использовать одну и туже микросхему, дабы не плодить их. Lattice дает к ним Power Pac Designer, где все делается без знания программируемой логики (как бейсик). Я использовал POWR1014A.

Русская версия Invision Power Board (http://www.invisionboard.com)
© Invision Power Services (http://www.invisionpower.com)