реклама на сайте
подробности

 
 
18 страниц V  « < 16 17 18  
Reply to this topicStart new topic
> Документация на System Verilog, Сбор документации на SVerilog. И обсуждение тонких моментов синтаксиса
Mikhail B.
сообщение Nov 11 2017, 16:45
Сообщение #256


Участник
*

Группа: Участник
Сообщений: 52
Регистрация: 9-11-17
Из: Ростов-на-Дону
Пользователь №: 100 129



Цитата(Golikov A. @ Aug 7 2006, 22:04) *
Есть у меня этот док, так себе...
Взял я доку на КВАРТУС. Половина функций не поддерживается angry.gif Если не больше.
От того кстати говоря ищу параллельно какие-нибудь внешние компиляторы; Леонардо, МоделСим... тоже пока безуспешно.



Может коль пошла такая пьянка, найти другой язык?
Языки - это все инструменты, почему такая привязанность?


Можете объяснить в чём глобальное отличие Verilog от VHDL? Изучал второй, сейчас требуют погрузиться в первый, есть ли смысл.
Где можно почитать на эту тему как "для чайников"?
Go to the top of the page
 
+Quote Post
Maverick
сообщение Nov 11 2017, 22:19
Сообщение #257


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(Mikhail B. @ Nov 11 2017, 18:45) *
Можете объяснить в чём глобальное отличие Verilog от VHDL? Изучал второй, сейчас требуют погрузиться в первый, есть ли смысл.
Где можно почитать на эту тему как "для чайников"?

для старта


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Mikhail B.
сообщение Nov 12 2017, 09:53
Сообщение #258


Участник
*

Группа: Участник
Сообщений: 52
Регистрация: 9-11-17
Из: Ростов-на-Дону
Пользователь №: 100 129



Цитата(Maverick @ Nov 12 2017, 01:19) *


Спасибо большое! biggrin.gif
Go to the top of the page
 
+Quote Post
Кнкн
сообщение Nov 28 2017, 12:42
Сообщение #259


Знающий
****

Группа: Свой
Сообщений: 646
Регистрация: 21-06-04
Пользователь №: 71



Нет ли возможности скачать у Синопсиса две статейки?

https://www.synopsys.com/Community/SNUG/Pag...ts.aspx?qry=uvm

Shutdown with Agreements in a UVM Testbench - Silicon Valley, 2017
Mark Glasser - NVIDIA

Applying Stimulus and Sampling Outputs - UVM Verification Testing Techniques - Austin, 2016
Clifford E. Cummings - Sunburst Design


Go to the top of the page
 
+Quote Post
Losik
сообщение Nov 28 2017, 14:33
Сообщение #260


Местный
***

Группа: Свой
Сообщений: 453
Регистрация: 22-04-07
Пользователь №: 27 235



Цитата(Кнкн @ Nov 28 2017, 15:42) *
Нет ли возможности скачать у Синопсиса две статейки?

https://www.synopsys.com/Community/SNUG/Pag...ts.aspx?qry=uvm

Shutdown with Agreements in a UVM Testbench - Silicon Valley, 2017
Mark Glasser - NVIDIA

Applying Stimulus and Sampling Outputs - UVM Verification Testing Techniques - Austin, 2016
Clifford E. Cummings - Sunburst Design

Статьи + презентации.
Прикрепленные файлы
Прикрепленный файл  ta3_1_cummings_paper.pdf ( 1012.08 килобайт ) Кол-во скачиваний: 80
Прикрепленный файл  wc09_63_glasser_paper.pdf ( 695.78 килобайт ) Кол-во скачиваний: 76
Прикрепленный файл  wc09_63_glasser_pres_user.pdf ( 1.52 мегабайт ) Кол-во скачиваний: 59
Прикрепленный файл  ta3_1_cummings_pres_user.pdf ( 2.19 мегабайт ) Кол-во скачиваний: 63
 
Go to the top of the page
 
+Quote Post
Кнкн
сообщение Nov 28 2017, 15:33
Сообщение #261


Знающий
****

Группа: Свой
Сообщений: 646
Регистрация: 21-06-04
Пользователь №: 71



Цитата(Losik @ Nov 28 2017, 17:33) *
Статьи + презентации.


Большое спасибо!
Go to the top of the page
 
+Quote Post
Мур
сообщение Dec 20 2017, 08:14
Сообщение #262


Знающий
****

Группа: Свой
Сообщений: 815
Регистрация: 7-06-06
Из: Харьков
Пользователь №: 17 847



https://dvteclipse.com/documentation/sv/index.html
Go to the top of the page
 
+Quote Post
Мур
сообщение Jul 21 2018, 14:43
Сообщение #263


Знающий
****

Группа: Свой
Сообщений: 815
Регистрация: 7-06-06
Из: Харьков
Пользователь №: 17 847



Мужички, кто поможет?
Почти год пытаюсь достать книгу Практикум по проектированию на языках VerilogHDL и SystemVerilog Мурсаев А.Х., Бурнаева Э.Г.
https://lanbook.com/catalog/informatika/pra...ystemverilog-4/

И на книжную балку заказывал ...и в Белгород на главпочтампт ...и командировочным.
Самое ужасное, что ВСЕ мои попытки найти в интеренете заканчивались кидком. Потратил уже денег на две таких книги!

Может оно того и не стоит? Кто поделится впечатлениями об творении?

Спасибо tort.gif
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Jul 22 2018, 09:09
Сообщение #264


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Цитата(Мур @ Jul 21 2018, 17:43) *
Может оно того и не стоит? Кто поделится впечатлениями об творении?
Уже судя по тому, что авторы описание схемы упорно называют программой, книга очень мягко говоря не очень.
Go to the top of the page
 
+Quote Post
Мур
сообщение Aug 1 2018, 08:11
Сообщение #265


Знающий
****

Группа: Свой
Сообщений: 815
Регистрация: 7-06-06
Из: Харьков
Пользователь №: 17 847



Цитата(andrew_b @ Jul 22 2018, 12:09) *
Уже судя по тому, что авторы описание схемы упорно называют программой, книга очень мягко говоря не очень.

...ну это известная оплошность. Тут надо просто оговаривать применение. Если описывается архитектура,-то это работа с дизайном(синтезируемое подмножество языка). Но если тестбенч, то это уже может быть и программа.. wacko.gif

Как только появится возможность поиметь текст книги слезно прошу в личку дать натырку. ...или сам файл. Спасибо!
...Вот только когда это будет?...
Go to the top of the page
 
+Quote Post
ViKo
сообщение Aug 1 2018, 09:12
Сообщение #266


Универсальный солдатик
******

Группа: Модераторы
Сообщений: 8 634
Регистрация: 1-11-05
Из: Минск
Пользователь №: 10 362



Даже стиральная машина болтает труселя и носки в барабане по программе.
А язык SystemVerilog описывает программу поведения схемы. rolleyes.gif
Если придираться, то к содержимому книги, а не терминам.
Go to the top of the page
 
+Quote Post
Мур
сообщение Aug 1 2018, 09:45
Сообщение #267


Знающий
****

Группа: Свой
Сообщений: 815
Регистрация: 7-06-06
Из: Харьков
Пользователь №: 17 847



Цитата(ViKo @ Aug 1 2018, 12:12) *
Даже стиральная машина болтает труселя и носки в барабане по программе. Если придираться, то к содержимому, а не терминам.

biggrin.gif Да как ни крути!..
В вашем случае,- ПЛИС это форма барабана, её объем, материал, количество точек крепления оси и тип передачи с движка. Это как периферия к внешнему интеллектуальному звену. Программистом выступает хозяйка, которая знает, что она бросает в барабан, задает режимы, вливает моющее средство и дает пуск на определенное время. Более низко уровневый программист описывает логику стирки и драйвер по управлению двигателем во ВНЕШНЕМ по отношению к барабану интеллектуальном звене.
....Даже если взять вариант, что это звено будет внутри ПЛИС будет описан вычислитель с определенной системой команд, памятью и внешними интерфейсами. ВСЕ! Это потом нужно ОТДЕЛЬНО программировать на ДРУГОМ языке...
Цитата
язык SystemVerilog описывает программу поведения схемы

...вы не внимательны! Достоинство SV в ориентации на тестирование, а значит хорош в тестбенчах! А это не обязательно синтезабельность. Там вольностей больше. Можно и программные трюки писать. В создании дизайна пишут не программу, а поведение. Причем число "программных счетчиков" равно числу процессов (а это тысячи!!!)
Go to the top of the page
 
+Quote Post
ViKo
сообщение Aug 1 2018, 10:50
Сообщение #268


Универсальный солдатик
******

Группа: Модераторы
Сообщений: 8 634
Регистрация: 1-11-05
Из: Минск
Пользователь №: 10 362



Цитата(Мур @ Aug 1 2018, 12:45) *
...вы не внимательны! Достоинство SV в ориентации на тестирование, а значит хорош в тестбенчах! А это не обязательно синтезабельность. Там вольностей больше. Можно и программные трюки писать. В создании дизайна пишут не программу, а поведение. Причем число "программных счетчиков" равно числу процессов (а это тысячи!!!)

Пишут программу поведения во времени. А не просто статическую схему описывают. Кончайте придираться, займитесь изучением. Я по книжке Stuart Sutherland "SystemVerilog for Design" учился.
(программа - она всегда во времени)
Go to the top of the page
 
+Quote Post
Мур
сообщение Aug 1 2018, 16:48
Сообщение #269


Знающий
****

Группа: Свой
Сообщений: 815
Регистрация: 7-06-06
Из: Харьков
Пользователь №: 17 847



Цитата(ViKo @ Aug 1 2018, 13:50) *
Пишут программу поведения во времени. А не просто статическую схему описывают. Кончайте придираться, займитесь изучением. Я по книжке Stuart Sutherland "SystemVerilog for Design" учился.
(программа - она всегда во времени)

Ну не существует статических цифровых схем!.. Это не критерий.
...Могу согласиться с вами только для варианта микропрограммного автомата, который проблемно ориентирован и не обладает универсальностью\гибкостью. Его поведение жестко и известно заранее. Но как только вы вводите в систему возможность внешней смены режимов на вариантность(по ходу использования архитектурного фрагмента),- появляется выбор в поведении. Некое поведение. Предсказуемое. Некая программа...

Я бы сказал, что речь для ПЛИС идет о создании архитектур, которые можно программировать на другом языке(машинных кодах, ассемблере и т.п.)
Go to the top of the page
 
+Quote Post

18 страниц V  « < 16 17 18
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th April 2024 - 20:48
Рейтинг@Mail.ru


Страница сгенерированна за 0.01503 секунд с 7
ELECTRONIX ©2004-2016