реклама на сайте
подробности

 
 
14 страниц V  < 1 2 3 4 > »   
Reply to this topicStart new topic
> Редакторы HDL, альтернатива
SM
сообщение Mar 28 2007, 10:29
Сообщение #16


Гуру
******

Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881



Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.
Go to the top of the page
 
+Quote Post
zorromen
сообщение Mar 28 2007, 10:55
Сообщение #17


Местный
***

Группа: Свой
Сообщений: 322
Регистрация: 13-12-05
Пользователь №: 12 147



А мультисим? ... Кстати а шо такое просто мультисим и мультисим-альтера? ...
Go to the top of the page
 
+Quote Post
Kopart
сообщение Mar 28 2007, 11:26
Сообщение #18


Знающий
****

Группа: Свой
Сообщений: 601
Регистрация: 1-03-05
Из: Spb
Пользователь №: 2 972



Цитата(MobyDick @ Mar 27 2007, 20:56) *
Для VHDL и Verilog - посмотрите в сторону Notepad++:

Посмотрел. Установил. Понравилась. cheers.gif


--------------------
Насколько проще была бы жизнь, если бы она была в исходниках
Go to the top of the page
 
+Quote Post
druzhin
сообщение Mar 28 2007, 13:13
Сообщение #19


druzhin
***

Группа: Свой
Сообщений: 286
Регистрация: 18-06-04
Из: Москва
Пользователь №: 58



Цитата(Victor® @ Mar 27 2007, 13:01) *
Context
www.context.cx
Есть все что надо, подсветка синтаксиса, автозаполение, хелп можно пристегнуть, например на VHDL...
ну и бесплатный

Я для верилога перепробовал все упомянутые в этой ветке текст-эдиторы. Удобней всех - ConTEXT !!!!!!

В присоединённом файле содержится мой личный верилоговский highlighter для контекста. Он сильно лучше умолчального, нормально распознаёт верилоговский формат чисел. Заточен под ксилинкс. Отсутствующие библиотечные ксилинксовские элементы для подсветки добавляйте сами.
Ещё добавил highlighter для ucf-файлов.
Прикрепленные файлы
Прикрепленный файл  VerilogHDL.rar ( 1.97 килобайт ) Кол-во скачиваний: 266
Прикрепленный файл  UCF.rar ( 1.65 килобайт ) Кол-во скачиваний: 252
 
Go to the top of the page
 
+Quote Post
Kopart
сообщение Mar 28 2007, 13:53
Сообщение #20


Знающий
****

Группа: Свой
Сообщений: 601
Регистрация: 1-03-05
Из: Spb
Пользователь №: 2 972



Цитата(druzhin @ Mar 28 2007, 14:13) *
Я для верилога перепробовал все упомянутые в этой ветке текст-эдиторы. Удобней всех - ConTEXT !!!!!!

В присоединённом файле содержится мой личный верилоговский highlighter для контекста. Он сильно лучше умолчального, нормально распознаёт верилоговский формат чисел. Заточен под ксилинкс. Отсутствующие библиотечные ксилинксовские элементы для подсветки добавляйте сами.
Ещё добавил highlighter для ucf-файлов.

Замечу, что я до этого пользовался ConTEXT'om и в нем нет, скажем, эстетитической функции сворачивания "функционального блока"


--------------------
Насколько проще была бы жизнь, если бы она была в исходниках
Go to the top of the page
 
+Quote Post
Postoroniy_V
сообщение Mar 28 2007, 14:44
Сообщение #21


МедвеД Инженер I
****

Группа: Свой
Сообщений: 816
Регистрация: 21-10-04
Пользователь №: 951



Цитата(SM @ Mar 28 2007, 11:29) *
Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.

в q7.0 поддерживается Aldec Active-HDL version 7.1 SP2
Setting Up the Active-HDL Working Environment


--------------------
Cogito ergo sum
Go to the top of the page
 
+Quote Post
druzhin
сообщение Mar 28 2007, 14:48
Сообщение #22


druzhin
***

Группа: Свой
Сообщений: 286
Регистрация: 18-06-04
Из: Москва
Пользователь №: 58



Цитата(NiOS @ Mar 28 2007, 14:53) *
Замечу, что я до этого пользовался ConTEXT'om и в нем нет, скажем, эстетитической функции сворачивания "функционального блока"

Ну тогда вам надо попробывать HDL TurboWriter, в нём оно есть. Я сам точно не знаю, что лучше - КонТекст или он. Вот бы их скрестить!
Кстати, я и для турбоврайтера написал прекрасный верилоговский хайлайтер.
Go to the top of the page
 
+Quote Post
dxp
сообщение Mar 28 2007, 16:00
Сообщение #23


Adept
******

Группа: Свой
Сообщений: 3 469
Регистрация: 6-12-04
Из: Novosibirsk
Пользователь №: 1 343



Цитата(SM @ Mar 28 2007, 14:29) *
Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.

Уже поддерживается. Да и то, что выдает Квартус для стороннего симулятора без вопросов кушается Активом и симуляется. А сейчас там у них какой-то новый интерфейс ввели, чтобы можно было прямо из Квартуса вызывать Актив на симуляцию.


--------------------
«Отыщи всему начало, и ты многое поймёшь» К. Прутков
Go to the top of the page
 
+Quote Post
SM
сообщение Mar 28 2007, 19:43
Сообщение #24


Гуру
******

Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881



Цитата(zorromen @ Mar 28 2007, 11:55) *
А мультисим? ... Кстати а шо такое просто мультисим и мультисим-альтера? ...


А что такое мультисим я сам не знаю. А вот modelsim - это среда для моделирования фирмы Mentor Graphics.
Go to the top of the page
 
+Quote Post
rv3dll(lex)
сообщение Mar 28 2007, 20:41
Сообщение #25


Полное ничтожество
*****

Группа: Banned
Сообщений: 1 991
Регистрация: 20-03-07
Из: Коломна
Пользователь №: 26 354



попеременно desview и редактор от ISE
Go to the top of the page
 
+Quote Post
zorromen
сообщение Mar 28 2007, 20:46
Сообщение #26


Местный
***

Группа: Свой
Сообщений: 322
Регистрация: 13-12-05
Пользователь №: 12 147



Извеняюсь ... конечно modelsim ... У меня неполучается правильно запустить ... Компиляция проходт нормально и modelsim запускается а что потом? ... Помогите с правильной последовательностью действий ...
Go to the top of the page
 
+Quote Post
SM
сообщение Mar 28 2007, 20:49
Сообщение #27


Гуру
******

Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881



Вот там описано шаг за шагом => http://www.altera.com/support/software/nat...using_msim.html
Go to the top of the page
 
+Quote Post
zorromen
сообщение Mar 29 2007, 11:50
Сообщение #28


Местный
***

Группа: Свой
Сообщений: 322
Регистрация: 13-12-05
Пользователь №: 12 147



У меня пишет когда пускаешь симуляцию из кваки6.0 в modelsim6.2f


vsim gate_work.plis
# vsim gate_work.plis
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: plis.vo(69): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(101): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(144): Module 'cyclone_lcell' is not defined.
# ** Error: plis.vo(169): Module 'cyclone_io' is not defined.
# Optimization failed
# Error loading design

И че делать?
Go to the top of the page
 
+Quote Post
dxp
сообщение Mar 29 2007, 12:58
Сообщение #29


Adept
******

Группа: Свой
Сообщений: 3 469
Регистрация: 6-12-04
Из: Novosibirsk
Пользователь №: 1 343



Цитата(zorromen @ Mar 29 2007, 15:50) *
У меня пишет когда пускаешь симуляцию из кваки6.0 в modelsim6.2f
vsim gate_work.plis
# vsim gate_work.plis
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: plis.vo(69): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(101): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(144): Module 'cyclone_lcell' is not defined.
# ** Error: plis.vo(169): Module 'cyclone_io' is not defined.
# Optimization failed
# Error loading design

И че делать?

Очевидно, библиотеки подключить. Которые лежат у Квартуса в \eda\sim_lib\. В данном случае по всему видно, что нужна cyclone_atoms.


--------------------
«Отыщи всему начало, и ты многое поймёшь» К. Прутков
Go to the top of the page
 
+Quote Post
zorromen
сообщение Mar 29 2007, 15:37
Сообщение #30


Местный
***

Группа: Свой
Сообщений: 322
Регистрация: 13-12-05
Пользователь №: 12 147



Люди помогите ... меня уже это все достало ... как правильно , по шагам ... что нажимать ичто куда писать ... чтобы запустить на симуляцию в модельсиме из квака 6.0 ... я долго непротяну ... напьюсь ... мож так заработает) ...
Go to the top of the page
 
+Quote Post

14 страниц V  < 1 2 3 4 > » 
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 19th April 2024 - 14:34
Рейтинг@Mail.ru


Страница сгенерированна за 0.01503 секунд с 7
ELECTRONIX ©2004-2016