реклама на сайте
подробности

 
 
2 страниц V   1 2 >  
Reply to this topicStart new topic
> Собираем сюда литературу, Рекомендую.
Stewart Little
сообщение Apr 29 2008, 07:47
Сообщение #1


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



1111493779.gif
На альтеровском сайте опубликовано руководство разработчика Embedded Design Handbook.
Имхо документ очень полезен.

Сообщение отредактировал Omen_13 - May 2 2008, 15:09


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
Omen_13
сообщение May 2 2008, 15:11
Сообщение #2


Силовик-затейник
****

Группа: Модераторы
Сообщений: 766
Регистрация: 18-02-07
Из: Столица солнечного Башкортостана
Пользователь №: 25 467



Название изменил, тему закрепил в шапке.
С уважением, модератор


--------------------
"Вперёд на мины, ордена потом!"
"инжинер/разработчик создает нечто, в отличии от многих других профессий. В этом есть сходность с художниками или музыкантами"(с)CodeWarrior1241
Go to the top of the page
 
+Quote Post
Omen_13
сообщение May 6 2008, 15:07
Сообщение #3


Силовик-затейник
****

Группа: Модераторы
Сообщений: 766
Регистрация: 18-02-07
Из: Столица солнечного Башкортостана
Пользователь №: 25 467



Думаю эта страничка будет полезной http://www.iosifk.narod.ru/


--------------------
"Вперёд на мины, ордена потом!"
"инжинер/разработчик создает нечто, в отличии от многих других профессий. В этом есть сходность с художниками или музыкантами"(с)CodeWarrior1241
Go to the top of the page
 
+Quote Post
Maverick
сообщение May 8 2008, 05:57
Сообщение #4


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Добавлю

http://www.infanata.org/2006/11/16/sapr_xi...li_edk_7li.html

http://www.infanata.org/2006/10/19/proekti...em_na_vhdl.html

http://www.infanata.org/2006/03/07/proekti...strojjstv..html

З.Ы. Прошу прощения, если литература не в тему.


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Student Pupkin
сообщение May 23 2008, 18:33
Сообщение #5


Местный
***

Группа: Участник
Сообщений: 328
Регистрация: 23-05-08
Пользователь №: 37 760



Цитата(Omen_13 @ May 6 2008, 19:07) *
Думаю эта страничка будет полезной http://www.iosifk.narod.ru/



Здесь тоже книжки полезные есть: http://www.dasbook.ru/?id=25
Go to the top of the page
 
+Quote Post
taurus
сообщение Jun 4 2008, 05:25
Сообщение #6


Участник
*

Группа: Участник
Сообщений: 73
Регистрация: 8-03-08
Из: Украина, Чернигов
Пользователь №: 35 743



Я иногда качаю книги на англ. с этого сайта:
FreeBooks

наиболее интересные мне категории:
Electrical Engineering (есть книги по VHDL, Verilog)
Embedded Systems

Сообщение отредактировал taurus - Jun 4 2008, 05:27


--------------------
Думать - мое хобби :)
Go to the top of the page
 
+Quote Post
Дварфик
сообщение Jun 22 2009, 13:34
Сообщение #7


Участник
*

Группа: Участник
Сообщений: 51
Регистрация: 16-06-09
Пользователь №: 50 327



Книга по универсальному копилятору использоваемому в Xilinx EDK
GCC--Complete_Reference
http://rs571gc2.rapidshare.com/files/16398...e_Reference.pdf
Go to the top of the page
 
+Quote Post
CaPpuCcino
сообщение May 13 2010, 21:21
Сообщение #8


тоже уже Гуру
******

Группа: Свой
Сообщений: 2 047
Регистрация: 13-06-05
Из: Кёлн - Санкт-Петербург
Пользователь №: 5 973



уважаемые!
пишу писульку по методике проектирования систем на ПЛИС.
подскажите, какая есть вменяемая литература по глубине мысли сопоставимая с "Reuse Methodology Manual", а то не хочется повторять уже написанное, поэтому нужно знать, что уже опубликованно (Хилинговские и Альтеровские мануалы просьба не предлагать)
ЗЫЖ "Системы-на-кристалле. Проектирование и развитие" Немудрова и Ко видел (((те ещё писаки)))
ЗЗЫЖ напишу - поделюсь


--------------------
И снова на арене цирка - дрессированные клоуны!! Оказываем консультации по электронике за симпу круглосуточно.
Go to the top of the page
 
+Quote Post
x736C
сообщение May 13 2010, 22:35
Сообщение #9


Профессионал
*****

Группа: Участник
Сообщений: 1 273
Регистрация: 3-03-06
Пользователь №: 14 942



CaPpuCcino, не уверен, что подойдет, но очень бы хотелось.
На opencores.org есть товарищ Ke Xu, который написал проект H.264/AVC Baseline Decoder.
Утверждает, что написано с нуля без использования сторонних Си-исходников. Все написано в чистом RTL (без использования SoC-процессоров).

Цитата
For better understanding of nova design, you can refer to the following documents:
1) Ke Xu, "Power-efficient Design Methodology for Video Decoding", PhD thesis, The Chinese University of Hong Kong, 2007.
2) Ke Xu, T. M. Liu, J. I. Guo, C. S. Choy, “Methods for Power/throughput/area Optimization of H.264/AVC Decoding”, Journal of Signal Processing Systems, 2009, DOI 10.1007/s11265-009-0408-6.
3) Ke Xu, C. S. Choy, “A 5-stage Pipeline, 204 Cycles/MB, Single-port SRAM Based Deblocking Filter for H.264/AVC”, IEEE Transactions on Circuits and Systems for Video Technology, vol. 18, issue 3, pp. 363 – 374, 2008.
4) Ke Xu, C. S. Choy, “A Power-efficient and Self-adaptive Prediction Engine for H.264/AVC Decoding”, IEEE Transactions on VLSI Systems, vol. 16, issue 3, pp. 302 - 313, 2008.
5) Ke Xu, C. S. Choy, C. F. Chan, K. P. Pun, “Power Efficient VLSI Realization of Complex FSM for H.264/AVC Bitstream Parsing”, IEEE Transactions on Circuits and Systems, Part II, vol. 54, issue 11, pp. 984 – 988, 2007.
6) Ke Xu, C. S. Choy, C. F. Chan, K. P. Pun, “Priority-based Heading One Detector in H.264/AVC Decoding”, EURASIP Journal on Embedded Systems, vol. 2007, Article ID 60834.
7) Ke Xu, C. S. Choy, “Low-power H.264/AVC Baseline Decoder for Portable Applications”, International Symposium on Low Power Electronics and Design, pp. 256 - 261, Sept. 2007, Oregon, USA.

You may send me an email to ask for my PhD thesis, while you can find other documents by Google or from IEEE website.

Полагаю, некоторые из его тезисов могут оказаться полезными.
Хочу их заполучить, но нет доступа на IEEE. В сети нашел только один или два из них.

Добавлю. Не смотря на то, что все тезисы связаны с декодирование видео и в частности с H.264, насколько я понял, упор делается на эффективный стиль кодирования в плане производительности/энергопотребления.

Хотя, наверное, все эти его тезисы есть в том или ином виде в обозначенном вами Reuse Methodology Manual for System-on-Chip Design или Low Power Methodology Manual тех же авторов. smile.gif
Go to the top of the page
 
+Quote Post
анатолий
сообщение May 21 2010, 09:29
Сообщение #10


Местный
***

Группа: Свой
Сообщений: 221
Регистрация: 10-12-05
Из: Украина
Пользователь №: 12 052



Steve Kilts. Advanced FPGA Design Architecture, Implementation, and Optimization. - Wiley -2007. -355p.
Go to the top of the page
 
+Quote Post
Maverick
сообщение May 21 2010, 10:57
Сообщение #11


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(анатолий @ May 21 2010, 12:29) *
Steve Kilts. Advanced FPGA Design Architecture, Implementation, and Optimization. - Wiley -2007. -355p.

а ссылку на саму книжку, слабо? smile.gif


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Kuzmi4
сообщение May 21 2010, 11:06
Сообщение #12


Гуру
******

Группа: Свой
Сообщений: 3 304
Регистрация: 13-02-07
Из: 55°55′5″ 37°52′16″
Пользователь №: 25 329



книга уже лежит в закромах
Go to the top of the page
 
+Quote Post
CaPpuCcino
сообщение May 21 2010, 19:34
Сообщение #13


тоже уже Гуру
******

Группа: Свой
Сообщений: 2 047
Регистрация: 13-06-05
Из: Кёлн - Санкт-Петербург
Пользователь №: 5 973



ESL Design and Verification: A Prescription for Electronic System Level Methodology
Grant Martin, Brian Bailey, Andrew Piziali
http://electronix.ru/forum/index.php?showt...st&p=760582
и
Taxonomies for the Development and Verification of Digital Systems
под редакцией той же дружины
http://electronix.ru/forum/index.php?showt...st&p=761775

первая повествует о проектировании систем с концептуальной т.з., т.е. не букварь и не методичка, а для учеников старших классов
а вторая обобщение работы альянса VSIA по систематизации понятий цифрового системного проектирования


--------------------
И снова на арене цирка - дрессированные клоуны!! Оказываем консультации по электронике за симпу круглосуточно.
Go to the top of the page
 
+Quote Post
CaPpuCcino
сообщение May 29 2010, 04:10
Сообщение #14


тоже уже Гуру
******

Группа: Свой
Сообщений: 2 047
Регистрация: 13-06-05
Из: Кёлн - Санкт-Петербург
Пользователь №: 5 973



о шинах
On-Chip Communication Architectures: System on Chip Interconnect, 2008
http://electronix.ru/forum/index.php?showt...st&p=764825


--------------------
И снова на арене цирка - дрессированные клоуны!! Оказываем консультации по электронике за симпу круглосуточно.
Go to the top of the page
 
+Quote Post
CaPpuCcino
сообщение Aug 19 2010, 04:15
Сообщение #15


тоже уже Гуру
******

Группа: Свой
Сообщений: 2 047
Регистрация: 13-06-05
Из: Кёлн - Санкт-Петербург
Пользователь №: 5 973



Цитата(x736C @ May 14 2010, 01:35) *
Хотя, наверное, все эти его тезисы есть в том или ином виде в обозначенном вами Reuse Methodology Manual for System-on-Chip Design или Low Power Methodology Manual тех же авторов. smile.gif

последний сабж наконец-то появился на раздаче:
http://electronix.ru/forum/index.php?showt...st&p=799580


--------------------
И снова на арене цирка - дрессированные клоуны!! Оказываем консультации по электронике за симпу круглосуточно.
Go to the top of the page
 
+Quote Post

2 страниц V   1 2 >
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 16th April 2024 - 19:56
Рейтинг@Mail.ru


Страница сгенерированна за 0.01549 секунд с 7
ELECTRONIX ©2004-2016