реклама на сайте
подробности

 
 
> PLL от Cyclone 10 LP не моделируется в Modelsim, не выход в состояние locked
AVR
сообщение Aug 24 2018, 07:05
Сообщение #1


фанат Linux'а
*****

Группа: Свой
Сообщений: 1 353
Регистрация: 23-10-05
Из: SPB.RU
Пользователь №: 10 008



Использую Quartus Prime 17.1.0 build 590 + Modelsim Intel FPGA starter edition 10.5b.
Есть пример с PLL, который легко запустить - просто файл sim.bat, только пути поправить:
Прикрепленный файл  test_pll.zip ( 7.06 килобайт ) Кол-во скачиваний: 12

Наблюдается странная проблема:
Прикрепленное изображение

Делаю резет как положено, на вход 200 МГц, что допустимо, тем более в симуляторе. Locked никогда не поднимается. Что я делаю не так?

Читал о всех возможных причинах неработоспособности PLL при моделировании - отсутствие сброса, инициализации, недостаточная точность модели, но у меня ведь 1ns/1ps и этого достаточно.
Самое интересное, что внутри компонента altlvds_rx встроенный в него PLL работает в модели, а ведь там то же самое altpll.


--------------------
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
warrior-2001
сообщение Aug 24 2018, 08:59
Сообщение #2


Местный
***

Группа: Свой
Сообщений: 375
Регистрация: 9-10-08
Из: Таганрог, Ростовская обл.
Пользователь №: 40 792



Приветствую.
Даю наводку - а что за порт такой у pll - inclk0(inclk0)?
И где он на времянке?


--------------------
Глупцы игнорируют сложность. Прагматики терпят ее. Некоторые могут избегать ее. Гении ее устраняют.
Go to the top of the page
 
+Quote Post
AVR
сообщение Aug 24 2018, 09:23
Сообщение #3


фанат Linux'а
*****

Группа: Свой
Сообщений: 1 353
Регистрация: 23-10-05
Из: SPB.RU
Пользователь №: 10 008



Цитата(warrior-2001 @ Aug 24 2018, 11:59) *
Даю наводку - а что за порт такой у pll - inclk0(inclk0)?
И где он на времянке?

Ох елки, это эпический фейспалм с пробитием моей пустой черепной коробки...
Тем не менее, после исправления - результат такой же. Неделю назад пробовал аналогичный пример в составе другого проекта, тогда я подать входную частоту не забыл. Результат все равно - на выходах Z.

Вот версия с исправлением:
Прикрепленный файл  test_pll2.zip ( 7.19 килобайт ) Кол-во скачиваний: 9

Не работает все равно. Выведены внутренние сигналы модели PLL, этих сигналов там много. Многие висят в Z состоянии. Не понимаю почему. Входная тактовая теперь видна.

Сообщение отредактировал AVR - Aug 24 2018, 09:39


--------------------
Go to the top of the page
 
+Quote Post
Sergey_Bekrenyov
сообщение Aug 24 2018, 17:55
Сообщение #4


Местный
***

Группа: Свой
Сообщений: 323
Регистрация: 14-12-10
Из: Королёв
Пользователь №: 61 599



Цитата(AVR @ Aug 24 2018, 12:23) *
Ох елки, это эпический фейспалм с пробитием моей пустой черепной коробки...
Тем не менее, после исправления - результат такой же. Неделю назад пробовал аналогичный пример в составе другого проекта, тогда я подать входную частоту не забыл. Результат все равно - на выходах Z.

Вот версия с исправлением:
Прикрепленный файл  test_pll2.zip ( 7.19 килобайт ) Кол-во скачиваний: 9

Не работает все равно. Выведены внутренние сигналы модели PLL, этих сигналов там много. Многие висят в Z состоянии. Не понимаю почему. Входная тактовая теперь видна.


еще в Cyclone V надо было модель PLL использовать, а не файл для синтеза. У Вас скорее всего Modelsim пишет warning типа "PLL is not bound"

поищите у себя в папке проекта msim_setup.tcl и проверьте какой файл команда "com" компилирует
Go to the top of the page
 
+Quote Post
AVR
сообщение Aug 25 2018, 19:09
Сообщение #5


фанат Linux'а
*****

Группа: Свой
Сообщений: 1 353
Регистрация: 23-10-05
Из: SPB.RU
Пользователь №: 10 008



Цитата(Sergey_Bekrenyov @ Aug 24 2018, 20:55) *
еще в Cyclone V надо было модель PLL использовать, а не файл для синтеза. У Вас скорее всего Modelsim пишет warning типа "PLL is not bound"
поищите у себя в папке проекта msim_setup.tcl и проверьте какой файл команда "com" компилирует

Вот том то и беда, что не припоминаю такого варнинга, ни единой ошибки. Самое смешное что в altlvds_rx/tx если включать PLL - всё прекрасно моделируется! Но отдельный PLL я ничего не компилирую, вот что есть в связке Quartus+Modelsim - то и должно по идее быть идеально совместимо между собой.


--------------------
Go to the top of the page
 
+Quote Post
Sergey_Bekrenyov
сообщение Aug 26 2018, 16:47
Сообщение #6


Местный
***

Группа: Свой
Сообщений: 323
Регистрация: 14-12-10
Из: Королёв
Пользователь №: 61 599



Цитата(AVR @ Aug 25 2018, 22:09) *
.. вот что есть в связке Quartus+Modelsim - то и должно по идее быть идеально совместимо между собой.


Нy ведь и программировать надо без ошибок в идеальном случае sm.gif

Я лучше сам напишу скрипт и буду свои ошибки исправлять, а не "индийского GUI" ( привет Кузьмичу sm.gif )
Go to the top of the page
 
+Quote Post



Reply to this topicStart new topic
3 чел. читают эту тему (гостей: 3, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 28th April 2024 - 07:57
Рейтинг@Mail.ru


Страница сгенерированна за 0.02101 секунд с 7
ELECTRONIX ©2004-2016