Версия для печати темы

Нажмите сюда для просмотра этой темы в обычном формате

Форум разработчиков электроники ELECTRONIX.ru _ Системы на ПЛИС - System on a Programmable Chip (SoPC) _ Проект по переводу документации Nios II

Автор: naliwator Jul 2 2010, 06:59

Если кому-нибудь интересно, то я взялся за перевод документации по Nios II.
Любая поддержка (словом, делом) приветствуется!

Храниться будет http://electronix.ru/redirect.php?http://www.naliwator.narod.ru/nios_II.html.

Уже доступно:
Nios II Разработка аппаратных средств
Это самоучитель про проектированию аппаратной части
встраиваемых систем на базе ядра Nios II.
Nios II Hardware Development Tutorial в переводе на русский.
Основное внимание сконцентрировано именно на проектировании аппаратной части,
поэтому в качестве примера работающей в системе программы -
примитивный двоичный счётчик.
Может служить отправной точкой для начала изучения встраиваемых процессорных систем Nios II.

Процессор Nios II Настольная книга. Введение
Это мой перевод первой главы настольной книги по Nios II
Nios II Processor Reference Handbook. Introduction
Даётся общая концепция организации семейства
встроенных процессоров Altera Nios II.
Эта глава позволяет аппаратным и программным инженерам
понять сходства и отличия между процессором Nios II и
традиционными процессорами.

Приятного чтения!

smile3046.gif

Автор: naliwator Jul 29 2010, 12:05

Процессор Nios II Настольная книга. Архитектура процессора
Это мой перевод второй главы настольной книги по Nios II
Nios II Processor Reference Handbook. Processor Architecture
В этой главе описывается аппаратная структура процессора Nios® II,
обсуждаются все функциональные узлы архитектуры Nios II
и основы аппаратной реализации процессора Nios II.

Автор: Serhiy_UA Jul 29 2010, 12:23

Огромное спасибо за эти переводы и те, что были ранее!
Читать по-английски полезно, но по-русски гораздо приятнее и быстрее.
Ждем Ваших новых работ, просьба не останавливаться.
Удачи.




Автор: FalloutMan Aug 27 2010, 20:00

Отлично написанно. Особенно Msim понравился, прям методичка готовая, megratec так не пишет. Большое дело делаете. Спасибо.

Автор: naliwator Oct 25 2010, 12:16

Начало работы с графической оболочкой
Это мой перевод второй главы настольной книги по Nios II
Nios II Software Developer’s Handbook. 2. Getting Started with the Graphical User Interface
В этой главе описывается графическая оболочка Eclipse,
способы написания программного проекта, его настройка, запуск и отладка.
smile3046.gif

Автор: DevL Oct 26 2010, 10:51

хорошее дело,

думаю будет полезно так же ссылки на оригинальные документы показать

Автор: naliwator Nov 9 2010, 07:30

Nios II Software Developer’s Handbook. 5. Developing Programs Using the Hardware Abstraction Layer
В этой главе вводится понятие слоя аппаратной абстракции (HAL) для процессора Nios® II.
HAL – это облегчённая версия рабочей среды, предоставляющая простой драйвер интерфейса устройства под программы, для подключения к основному устройству.
Программный интерфейс HAL приложения (API) интегрирован в стандартную библиотеку ANSI C.
HAL API позволяет вам иметь доступ к устройствам и файлам, используя хорошо знакомые функции С библиотеки, такие как printf(), fopen(), fwrite() и т.д.

Автор: naliwator Nov 16 2010, 10:22

SOPC Builder. Система структуры внутренних соединений для интерфейсов с распределением в памяти

Это мой перевод второй главы SOPC Builder
2. System Interconnect Fabric for Memory-Mapped Interfaces
В этой главе описывается аппаратные свойства структуры внутренних соединений,
использующих шину Avalon-MM, как для стандартного применения (один мастер - остальные слейв),
так и для систем, использующих несколько мастер устройств.
В главе даётся представления об арбитражной логике и логике контроллера прерываний.

Автор: naliwator Nov 23 2010, 15:59

SOPC Builder. Ядро последовательного флеш контроллера EPCS

Это мой перевод Embedded Peripherals IP.User Guide
4. EPCS Serial Flash Controller Core
Чип конфигурирования Altera EPCS можно использовать в качестве средства
загрузки программы для системы с процессором Nios II, а также
хранить в нём данные, необходимые для работы программы.
Ядро поддерживает набор стандартных драйверов, позволяющих
управлять данными конфигурирования FPGA и процессом загрузки программы.

Автор: naliwator Dec 1 2010, 08:35

Nios II Software Developer’s Handbook. 6. Developing Programs Using the Hardware Abstraction Layer

Слой аппаратной абстракции (HAL Nios II) предоставляет набор функциональных инструментов, которые вы используете при разработке собственного программного приложения для системы с процессором Nios II. В этой главе описаны приёмы использования функций HAL API, многие из которых позаимствованы из стандартной библиотеки ANSI C и из UNIX. В главе обсуждается использование функций, взаимодействующих с файловой системой, стандартными устройствами на шине Avalon, такими как таймеры, UART, флеш и DMA. Описаны приёмы уменьшения кода приложения, за счёт использования стандартных функций HAL API, управление распределением в памяти и настройки последовательности загрузки приложения.

Автор: naliwator Jan 17 2011, 09:07

Альтернативные методы загрузки Nios II
Это мой перевод AN-458-2.0.
В документе объясняются различные методы загрузки программы приложения в RAM процессора Nios II. Рассматриваются формат записи образа загрузки, работа программ – копировщиков загрузки (загрузчиков). Отличие копировщиков загрузки по умолчанию от копировщиков загрузки с модифицируемым кодом. С теоретической и практической точек рассматриваются модифицируемые копировщики загрузки: расширенный и малый. Изучаются принципы отладки программ копировщиков загрузки. В заключительной части описана методика загрузки программы под управлением внешнего процессора.

Автор: naliwator Jan 26 2011, 12:07

Перевод четвёртой главы SOPC Builder 4. SOPC Builder Components
В этой главе описывается классификация компонентов SOPC Builder, способы их описания и включение в систему новых компонентов.

Автор: naliwator Feb 4 2011, 08:59

Друзья. пока писал для себя памятку, как сделать систему с программой, загружаемой из EPCS, получил что-то вроде http://electronix.ru/redirect.php?http://www.naliwator.narod.ru/les_nios.html. Ваше мнение будет интересным.

Автор: torik Feb 4 2011, 14:34

Поелзно, я раньше не пользовался таким методом, а шил ниосовским флеш-программером также через консоль. Хорошо бы добавить в эту "памятку" и такой метод. А может даже и приложить шаблон батника...

Вроде такого, только с пояснениями

 gs58_flash_prog.rar ( 395 байт ) : 145
 

Автор: Kuzmi4 Feb 6 2011, 14:50

Вопрос всемогущим форма сего:
если мне не изменяет маразм когда то эта тема висела в шапке, а что сейчас случилось ?

Автор: Nixon Feb 6 2011, 15:22

Да, была в "шапке". Закрепил повторно.

Автор: naliwator Feb 7 2011, 11:35

Цитата(torik @ Feb 4 2011, 17:34) *
Поелзно, я раньше не пользовался таким методом, а шил ниосовским флеш-программером также через консоль. Хорошо бы добавить в эту "памятку" и такой метод. А может даже и приложить шаблон батника...

Вроде такого, только с пояснениями


Вы всегда живо откликаетесь на мои посты, спасибо.
Пробую Ваш метод, на стадии проверки связи с EPCS возникает строка
"No EPCS registers found"
Я так понимаю, что скачать прошивку не получится?
Из каких соображений задаётся base=1800?

Спасибо.

Автор: torik Feb 8 2011, 18:13

base=1800 - как в системе SOPC получилось, так и написал.

Цитата
Я так понимаю, что скачать прошивку не получится?

В смысле скачать с EPCS в файл? нет проблем, можно и сравнить...

Автор: naliwator Feb 9 2011, 08:28

Цитата(torik @ Feb 8 2011, 21:13) *
base=1800 - как в системе SOPC получилось, так и написал.

Всё получилось, добавлю к "пямятке" a14.gif

Размести перевод SOPC Builder. 9. PIO Core.
Описывается аппаратная и программная модель ядра PIO, предназначенного для организации интерфейса между Avalon-MM и портами ввода-вывода FPGA логики либо устройств, подключенных снаружи FPGA.

Автор: naliwator Feb 14 2011, 10:20

Разместил перевод Nios II Software Developer’s Handbook. 7. Developing Device Drivers for the Hardware Abstraction Layer

В этой главе описано, как разрабатывать драйверы устройств и интегрировать их в слой аппаратной абстракции (HAL). Приводятся рекомендации по написанию драйверов для классов устройств: с символьным режимом, файловой подсистемы, таймеров, флеш и DMA памяти, эзернет. Отдельное место в главе занимает описание драйвера для собственного устройства, не попадающего явно под один из перечисленных классов, и процесс его интеграции в HAL. Описывается методика замены драйверов устройств по умолчанию.

Автор: chirik Feb 27 2011, 16:35

Добрый день!

Большое спасибо за перевод статей у вас на сайте! Но у меня есть проблема, не могу найти файл: nios2_quartus2_project, может кто ссылку даст? Было бы замечательно, если необходимые файлы проектов лежали рядом с pdf.

Автор: naliwator Feb 28 2011, 08:23

Цитата(chirik @ Feb 27 2011, 19:35) *
Добрый день!

Большое спасибо за перевод статей у вас на сайте!

rolleyes.gif
Цитата
Но у меня есть проблема, не могу найти файл: nios2_quartus2_project, может кто ссылку даст?

Страница с литературой по Nios II на сайте Altera (http://electronix.ru/redirect.php?http://www.altera.com/literature/lit-nio2.jsp). Пример проекта (http://electronix.ru/redirect.php?http://www.altera.com/literature/tt/niosII_hw_dev_tutorial.zip).
Цитата
Было бы замечательно, если необходимые файлы проектов лежали рядом с pdf.

Это я делать не вправе, с другой стороны - не ленитесь!
biggrin.gif

Автор: chirik Feb 28 2011, 19:38

Спасибо! Теперь можно приступать за работу)
PS Жаль, что Altera удаляет старые версии файлов. Например к AN459 файл проекта под QUARTUS 9.0 уже не найти...(

Автор: naliwator Mar 2 2011, 10:55

Цитата(chirik @ Feb 28 2011, 22:38) *
PS Жаль, что Altera удаляет старые версии файлов. Например к AN459 файл проекта под QUARTUS 9.0 уже не найти...(

Если вы внимательно посмотрите на страницу загрузки, то увидите:

Embedded Documentation One-Click Download

* Version 10.1 (.zip)
* Version 10.0 (.zip)
* Version 9.1 (.zip)
* Version 9.0 (.zip)
* Version 8.1 (.zip)

В этих архивах содержатся примеры для предыдущих версий Quartus II.

Автор: chirik Mar 3 2011, 08:31

Эти ссылки я видел, и файлы скачивал. Например, скачиваем Version 9.0 (.zip) открываем AN459, читаем: Extract the an459_software_80.zip - в Version 9.0 (.zip) этого файла нет (лично я не нашел), скачиваем Version 8.1 (.zip) там тоже его нет, и где бы его взять? В архиве Version 9.1 есть файлик an459_91.zip, но у меня QUARTUS 9.0, после этого я и сделал вывод, что под 9.0 файлы не найти, может я конечно ошибаюсь?

Автор: naliwator Mar 3 2011, 09:04

Цитата(chirik @ Mar 3 2011, 11:31) *
Эти ссылки я видел, и файлы скачивал. Например, скачиваем Version 9.0 (.zip) открываем AN459, читаем: Extract the an459_software_80.zip - в Version 9.0 (.zip) этого файла нет (лично я не нашел), скачиваем Version 8.1 (.zip) там тоже его нет, и где бы его взять? В архиве Version 9.1 есть файлик an459_91.zip, но у меня QUARTUS 9.0, после этого я и сделал вывод, что под 9.0 файлы не найти, может я конечно ошибаюсь?

Скорее всего в примере не затронуты изменения, введённые в Quartus 9.0. Предполагаю, что поэтому в архиве и содержится файл версии 8.0.

Автор: chirik Mar 3 2011, 11:47

Так, an459_software_80.zip где можно найти? crying.gif

Автор: naliwator Mar 3 2011, 12:01

Цитата(chirik @ Mar 3 2011, 14:47) *
Так, an459_software_80.zip где можно найти? crying.gif

Я нашёл его в документах к версии 9.1.
В документах к версии 10.0 значится an459_91.zip.
Запутаться немудрено 05.gif

Автор: chirik Mar 3 2011, 12:34

Так он под QUARTUS9.1 в 9.0 не пойдет...

Автор: naliwator Mar 3 2011, 12:38

Цитата(chirik @ Mar 3 2011, 15:34) *
Так он под QUARTUS9.1 в 9.0 не пойдет...


Пример an459_software_80.zip

 an459_software_80.zip ( 29.71 килобайт ) : 69
 

Автор: chirik Mar 3 2011, 12:51

Спасибо за помощь! А можно вопрос, где вы его нашли?

Автор: naliwator Mar 3 2011, 12:56

Цитата(naliwator @ Mar 3 2011, 15:01) *
Я нашёл его в документах к версии 9.1.


Автор: chirik Mar 4 2011, 10:47

Добрый день!
Выполняю проект по руководству tt_nios2_hardware_tutorial_4.pdf. В конце статьи проверяются временные задержки проекта, и говорится, если в отчете временного анализатора имеются отрицательные значения - значит проверка прошла не удачно. Если я правильно смотрю в отчет компилятора, то там нет отрицательных значений, но когда открываешь окно программатора выскакивает предупреждение: "Время аппаратной оценки превышено". Из того что я изменил в проекте это устройство на Cyclone II, ну и ножки назначил. Может подскажите в чем дело? До этого дела с временным анализатором не имел, так что не знаю в какую сторону копать...

принскрин:
http://electronix.ru/redirect.php?http://narod.ru/disk/6795942001/nios.gif.html

проект можно глянуть здесь:
http://electronix.ru/redirect.php?http://narod.ru/disk/6795721001/niosII_hw_dev_tutorial.rar.html




Автор: naliwator Mar 4 2011, 11:08

Цитата(chirik @ Mar 4 2011, 13:47) *
Добрый день!
Выполняю проект по руководству tt_nios2_hardware_tutorial_4.pdf. В конце статьи проверяются временные задержки проекта, и говорится, если в отчете временного анализатора имеются отрицательные значения - значит проверка прошла не удачно. Если я правильно смотрю в отчет компилятора, то там нет отрицательных значений, но когда открываешь окно программатора выскакивает предупреждение: "Время аппаратной оценки превышено". Из того что я изменил в проекте это устройство на Cyclone II, ну и ножки назначил. Может подскажите в чем дело? До этого дела с временным анализатором не имел, так что не знаю в какую сторону копать...


У Вас "проблемы с лицензией". Из-за них программный файл существует определённое время (по-моему 1 час) после компиляции, когда он может быть загружен в FPGA. Называется это "оценочные средства OpenCore Plus" почитать о их использовании можно http://electronix.ru/redirect.php?http://naliwator.narod.ru/NHH1_.pdf и http://electronix.ru/redirect.php?http://naliwator.narod.ru/tt_nios2_hardware_tutorial_0.pdf.

Но лучше для работы иметь "правильную" лицензию.

Автор: chirik Mar 4 2011, 11:35

Странно... quartus пишет full version.
PS а у вас не будет лекарства от этого? rolleyes.gif

http://electronix.ru/redirect.php?http://narod.ru/disk/6799108001/license.gif.html

Автор: naliwator Mar 4 2011, 12:11

Цитата(chirik @ Mar 4 2011, 14:35) *
PS а у вас не будет лекарства от этого? rolleyes.gif

bb-offtopic.gif
Уверяю Вас, запрос в любом поисковике приведёт Вас к решению Вашей проблемы.
Это не тема для текущей ветки форума.

Автор: chirik Mar 4 2011, 13:04

Те как я понял, временная симуляция прошла успешно и можно двигается дальше. Но quartus предупреждает о временном дествии файлов с процессором nios.

Автор: naliwator Mar 4 2011, 13:25

Да, и дальше - хуже. Необходимо будет успевать запустить программный проект до того, как истечёт время действия sof файла.

Автор: chirik Mar 4 2011, 13:47

Запустил eclipse, в руководстве tt_nios2_hardware_tutorial_5.pdf говорится: выполняем файл -> новый -> приложения nios и BSP, так у меня можно выбрать только приложение nios. И после, в проекте нет файла *.bsp. Как быть?

принскрин:
http://electronix.ru/redirect.php?http://narod.ru/disk/6808579001/eclipse.gif.html

Автор: naliwator Mar 4 2011, 14:52

Всё верно, если Вы внимательно прочитаете требования к аппаратным и программным средствам для этого примера, то увидите, почему у Вас нет BSP файла. BSP файлы появились в версии 9.1. До этого момента, они назывались System Library.

P.S. совсем не обязательно указывать ссылки на снимок экрана на народе, Вы можете смело прикреплять файлы к своему посту. wink.gif

Автор: chirik Mar 4 2011, 14:56

Ах да, Спасибо!

Автор: naliwator Mar 5 2011, 14:21

Пополнение в библиотеке переводов.

Процессор Nios II Настольная книга программиста. Обработка исключений
Это мой перевод Nios II Software Developer’s Handbook. 8. Exception Handling
В этой главе рассказывается, как писать программы для обработки исключений в архитектуре процессора Nios® II. Особое внимание уделяется тому, как процесс запроса аппаратного прерывания регистрируется пользовательской программой обработки прерываний (ISR) в слое аппаратной абстракции (HAL).

Автор: naliwator Mar 14 2011, 08:08

Пополнение библиотеки.

SOPC Builder. Ядро контроллера SDRAM
Это мой перевод Embedded Peripherals IP.User Guide 1. SDRAM Controller Core
SDRAM часто используется в экономичных приложениях, которым требуется много энергонезависимой памяти. Контроллер SDRAM подключается к одному или нескольким чипам SDRAM, и обрабатывает все необходимые протоколы SDRAM. Внутри чипа ядро представляет собой слейв порт Avalon-MM, который добавляется как линейная память (одномерное адресное пространство) для мастер периферии Avalon-MM.

Автор: MisterDi Mar 14 2011, 14:51

Цитата
требуется много энергонезависимой памяти
Похоже слово "энергонезависимой" тут лишнее sm.gif, но все равно спасибо.

Автор: naliwator Mar 14 2011, 15:05

Цитата(MisterDi @ Mar 14 2011, 17:51) *
Похоже слово "энергонезависимой" тут лишнее sm.gif, но все равно спасибо.


Регулярно сталкиваюсь с необходимостью указывать это слово в сопроводительной документации.
К тому же, перевод "дословный", лишнего много, стараюсь ничего не выкидывать. wink.gif

Автор: naliwator Apr 1 2011, 10:24

Пополнение библиотеки.

Процессор Nios II Настольная книга программиста. Кэш и прочно сопряжённая память

Это мой перевод Nios II Software Developer’s Handbook. 9. Cache and Tightly-Coupled Memory
В главе описывается реализация кэша инструкций и кэша данных, инициализация кэша после сброса, принципы обращения к регистрам при написании драйверов устройств для процессорной системы Nios II, написание программ-загрузчиков на ассемблере, управление кэшем в системах мультимастер и использование прочно сопряжённой памяти.

Автор: andrew_b Apr 5 2011, 07:45

Вы проделали очень большую работу. В целом очень хорошо. Но есть пара замечаний.

1. Section всё же лучше переводить как "глава" или "раздел", а не "секция".
2. Интерфейс в программах английский, а у Вас в тексте все элементы интерфейса даны в переводе. Мне думается, лучше оставить оригинальные названия. В данном случае у перевода нет никаких преимуществ перед оригиналом. Я никогда не видел локализованного интерфейса ни у Altera, ни у Xilinx, ни у кого-то другого.

Ну и по мелочи: есть несколько опечаток и масса лишних запятых.

Спасибо.

Автор: naliwator Apr 5 2011, 07:57

Цитата(andrew_b @ Apr 5 2011, 11:45) *
Вы проделали очень большую работу. В целом очень хорошо. Но есть пара замечаний.

1. Section всё же лучше переводить как "глава" или "раздел", а не "секция".


Глава переводится как глава, раздел перекликается с разделами проекта, чтобы не путаться - секция.

Цитата(andrew_b @ Apr 5 2011, 11:45) *
2. Интерфейс в программах английский, а у Вас в тексте все элементы интерфейса даны в переводе. Мне думается, лучше оставить оригинальные названия. В данном случае у перевода нет никаких преимуществ перед оригиналом. Я никогда не видел локализованного интерфейса ни у Altera, ни у Xilinx, ни у кого-то другого.


Да, в первых переводах так и делал, потом решил оставлять без перевода.

Спасибо за спасибо! 1111493779.gif

Автор: naliwator Apr 28 2011, 07:50

Пополнение библиотеки.

SOPC BUILDER. Ядро UART.

Автор: naliwator May 12 2011, 06:36

Пополнение библиотеки.

SOPC Builder. 10. Сквозной контроль разработки компонента SOPC Builder
Это мой перевод десятой главы SOPC Builder 10. SOPC Builder Component Development Walkthrough
В этой главе описываются составные части собственного компонента SOPC Builder (аппаратный ускоритель функции контрольной суммы), и приводится руководство по процессу создания примера собственного компонента, его интеграции в систему и аппаратному тестированию. Файлы примера проекта доступны по ссылке на официальный сайт Altera.

Автор: naliwator May 23 2011, 06:40

Пополнение библиотеки.

SOPC Builder. Ядро SPI
Это мой перевод Embedded Peripherals IP.User Guide 8. SPI Core В главе описываются особенности реализации ядра SPI в режиме мастер и слейв, способы подключения нескольких устройств по шине SPI, взаимодействие ядра SPI с шиной Avalon-MM, а также программная модель доступа к ядру SPI посредством специальной функции.

Автор: naliwator Jun 9 2011, 13:51

Пополнение библиотеки.

Настольная книга по встраиваемым системам. 4.Инструменты командной строки Nios II
Это мой перевод Embedded Design Handbook. 4: Nios II Command-Line Tools
В этой главе описываются инструменты командной строки the Nios® II, поставляемыми с набором для встроенной разработки Nios II (EDS). Командная строка Altera прекрасно подходит для определённого набора процессов, начиная от отладки платы и проекта на системном уровне, и заканчивая программированием файла конфигурации FPGA (.sof).

Автор: naliwator Jun 17 2011, 08:48

Написал статью о подключении внешней SRAM памяти к системе SOPC Builder. Жду отзывов.

Автор: torik Jun 20 2011, 09:52

Довольно полезная статься для новичков. Вот только слово "бюджетный" не к месту. SRAM память не означает бюджетный, это вроде обозначает произвольный доступ sm.gif
А еще не помешает рассказать про констрейны, которые нужно добавить к проекту.

Автор: naliwator Jun 20 2011, 10:07

Цитата(torik @ Jun 20 2011, 13:52) *
А еще не помешает рассказать про констрейны, которые нужно добавить к проекту.

Согласен, добавлю.

Автор: naliwator Aug 12 2011, 07:47

Пополнение библиотеки.

SLS. IP ядро устройства USB 2.0
Это мой перевод документации на ядро USB контроллера USB20HR фирмы SLS. В документе описаны принципы реализации IP ядра, функционирования, регистры контроля и статуса ядра, а также его инсталляция в систему SOPC Builder.

Автор: naliwator Sep 28 2011, 07:25

Пополнение библиотеки.

Отладка Nios II системы при помощи встроенного логического анализатора SignalTap II
Это мой перевод AN-446-2.0 Debugging Nios II Systems with the SignalTap II Embedded Logic Analyzer
В документе рассказывается о возможности расширения инструментов встроенного логического анализатора SignalTap II в части отладки системы с процессором Nios II. Приводится подробная информация о добавлении и установках плагина Nios II в SignalTap II для отладки программной части проекта. Также в документе приведён пример использования плагина.

Автор: torik Oct 5 2011, 05:30

Последнюю статью введение глянул. Не понял назначение этой фишки - можно более понятно в двух словах? Для чего этим можно пользоваться?

Автор: naliwator Oct 5 2011, 07:13

Цитата(torik @ Oct 5 2011, 09:30) *
Последнюю статью введение глянул. Не понял назначение этой фишки - можно более понятно в двух словах? Для чего этим можно пользоваться?

Если в двух словах, вы можете проследить в Signaltap II динамическое взаимодействие вашей программы на уровне ассемблерных команд с остальной логикой проекта.

Автор: Огурец Oct 26 2011, 06:18

А никто не переводил случайно даташит вот этой штуковины?
LAN91C111.pdf
У меня с иностранными языками война, поэтому был бы очень благодарен и счастлив, если бы кто подсказал где взять перевод

 lan91c111ine.pdf ( 749.19 килобайт ) : 52
 

Автор: chirik Nov 3 2011, 17:22

Добрый день! А почему ваши pdf нельзя распечатать? Вы поставили защиту?
PS неудобно тыкаться между quartus и pdf... crying.gif

Автор: naliwator Nov 25 2011, 08:55

Пополнение библиотеки.

Симуляция проектов со встроенным процессором Nios II
Это мой перевод AN-351-1.3 Simulating Nios II Embedded Processor Designs
Это учебное пособие, в котором приводится пример организации процесса симуляции системы Qsys с процессором Nios II, используя симулятор ModeSim-Altera.

Автор: WitFed Dec 28 2011, 09:14

Да, Антон, не надо так сильно нас бояться и запрещать в Акробате всё подряд wink.gif
Исходные же документы совершенно открыты, текст только изменился на русский, смысла шифроваться нет совершенно.
Обычно я пользуюсь драйвером doPDF, если авторы запрещают копирование контента из pdf.
Тогда печатаешь в тот новый софт-принтер, получается новый pdf уже без запретов, где воруешь что угодно из примеров.
Но когда и печать запрещена... wink.gif

Есть предложение выкладывать файлы покрупнее, тематически собранные из мелких текущих кусочков, полные аналоги Альтерных чтоб лежали на сайте, они тогда и в сумме меньше весят, и качать удобнее скопом.
Ну и над литературностью текста поработать бы -- там очень жидко всё расписано, один в один с аглицкого, запятые так же не по-нашему, как будто Сократом переводилось wink.gif Русские люди мыслят гораздо плотней и быстрей wink.gif Можно и своего опыта добавить -- пусть они уже обратно переводят... wink.gif

Автор: naliwator Dec 28 2011, 09:45

Цитата(WitFed @ Dec 28 2011, 13:14) *
Обычно я пользуюсь драйвером doPDF


bb-offtopic.gif Каждый человек сам определяет степень своей свободы.

Цитата(WitFed @ Dec 28 2011, 13:14) *
Есть предложение выкладывать файлы покрупнее, тематически собранные из мелких текущих кусочков, полные аналоги Альтерных чтоб лежали на сайте, они тогда и в сумме меньше весят, и качать удобнее скопом.
Ну и над литературностью текста поработать бы -- там очень жидко всё расписано, один в один с аглицкого, запятые так же не по-нашему, как будто Сократом переводилось wink.gif Русские люди мыслят гораздо плотней и быстрей wink.gif Можно и своего опыта добавить -- пусть они уже обратно переводят... wink.gif

bb-offtopic.gif И в этом смысле http://electronix.ru/redirect.php?http://www.altera.com/ значительно уступает http://electronix.ru/redirect.php?http://www.actel.ru/.

Автор: naliwator Apr 17 2012, 07:19

Пополнение библиотеки.

10. Операционная система реального времени MicroC/OS-II
10. MicroC/OS-II Real Time Operating System
В главе предоставляется общая информация об особенностях реализации операционной системы реального времени MicroC/OS-II от Micrium на базе процессорной системы Nios II.

Автор: 608 May 10 2012, 06:06

Добрый день, Антон!

Пытаюсь повторить Ваши рекомендации для статьи "Загрузка программы из EPCS флеш памяти".
Все нормально идет до пункта "32. Создание .jic файла". Но в нем, при подключении hex-файла, выдается сообщение "Data in HEX File overlaps between data blocks at address 8 and address 0", с последующим "Failed to generate output_file.jic", т.е. далее отказывается.

Рекомендации из
http://electronix.ru/redirect.php?http://www.altera.com/support/kdb/solutions/rd09282011_907.html
http://electronix.ru/forum/lofiversion/index.php/t58758.html
тоже не помогли. В чем причина?

Автор: naliwator May 10 2012, 07:32

Цитата(608 @ May 10 2012, 10:06) *
Добрый день, Антон!

Пытаюсь повторить Ваши рекомендации для статьи "Загрузка программы из EPCS флеш памяти".
Все нормально идет до пункта "32. Создание .jic файла". Но в нем, при подключении hex-файла, выдается сообщение "Data in HEX File overlaps between data blocks at address 8 and address 0", с последующим "Failed to generate output_file.jic", т.е. далее отказывается.



Возможно вы сможете найти ответ http://electronix.ru/redirect.php?http://www.altera.com/support/kdb/solutions/rd09282011_907.html?GSA_pos=1&WT.oss_r=1&WT.oss=Data%20in%20HEX%20File%20overlaps.
В двух словах, ваш Hex файл может использовать адресацию по словам, вместо того, чтобы использовать адресацию по байтам. В приведённой рекомендации Altera описано, как справляться с этой проблемой. Попутно сказано, что это проблема встречается на 11 версии ...

P.S. Обратил внимание, что вы уже пользовались этой рекомендацией безуспешно. Сделайте скриншот экрана окна конвертации и прикрепите map файл.

Автор: 608 May 10 2012, 10:11

Если учитывать рекомендации, то вроде все успешно получается. Хотя пошло и не с первого раза...
Но после загрузки *.jic в EPCS, не чувствуется работа самого NiosII.

Проект создавался как тестовый, в нем часть светодиодов подмигивает от аппаратуры, а только один от NiosII.

При конфигурировании от компьютера *.sof и при загрузке Run-Run, светодиод управляемый от NiosII подмигивает, как и хотелось; подмигивают также и светодиоды от аппаратуры.

Но после создания *.jic, теперь уже успешного (никто уже не ругается - учтены отмеченных выше рекомендации от altera.com/support), и после обычной загрузки *.jic в EPCS, а также включения/выключения питания, светодиод от NiosII не подмигивает, в то же время мигают светодиоды от аппаратуры.

Не понятно, в чем причина?
Плата рабочая, проверена на jic-файлах от других проектов.

 output_file.zip ( 251 байт ) : 27
 

Автор: naliwator May 10 2012, 10:26

Цитата(608 @ May 10 2012, 14:11) *
При конфигурировании от компьютера *.sof и при загрузке Run-Run, светодиод управляемый от NiosII подмигивает, как и хотелось; подмигивают также и светодиоды от аппаратуры.

Но после создания *.jic, теперь уже успешного (никто уже не ругается - учтены отмеченных выше рекомендации от altera.com/support), и после обычной загрузки *.jic в EPCS, а также включения/выключения питания, светодиод от NiosII не подмигивает, в то же время мигают светодиоды от аппаратуры.


Подозреваю, что вектор сброса у вас указан не на EPCS.

Автор: 608 May 10 2012, 10:54

Цитата(naliwator @ May 10 2012, 13:26) *
Подозреваю, что вектор сброса у вас указан не на EPCS.


Там все один-в-один, как указано в пункте 12 статьи "Загрузка программы из EPCS флеш памяти", полное соответствие.....

Автор: naliwator May 10 2012, 11:08

Цитата(608 @ May 10 2012, 14:54) *
Там все один-в-один, как указано в пункте 12 статьи "Загрузка программы из EPCS флеш памяти", полное соответствие.....


Посмотрите в BSP Editor вкладку Linker Script. Какой памяти в вашем проекте назначаются секции кода?

Автор: 608 May 11 2012, 06:47

Получилось, все работает.

Антон, в приложении некоторые пояснения.
Если сочтете необходимым, то можете добавить их к пункту "32. Создание .jic файла".

Ключевым действием было:
2.Открыть требуемый hex-файл через File -> Open. Появится окно с запросом о ширине слова Word Size. Задать его равным 8 (важно задать именно 8).


 hex.zip ( 108.53 килобайт ) : 39
 

Автор: naliwator May 11 2012, 08:40

Цитата(608 @ May 11 2012, 10:47) *
Получилось, все работает.

Антон, в приложении некоторые пояснения.
Если сочтете необходимым, то можете добавить их к пункту "32. Создание .jic файла".

Ключевым действием было:
2.Открыть требуемый hex-файл через File -> Open. Появится окно с запросом о ширине слова Word Size. Задать его равным 8 (важно задать именно 8).


Подозреваю, что это "фокусы" 11 версии, которые Altera грозится http://electronix.ru/redirect.php?http://www.altera.com/support/kdb/solutions/rd09282011_907.html?GSA_pos=1&WT.oss_r=1&WT.oss=Data%20in%20HEX%20File%20overlaps в будущем. Hex файлы, полученные путём конвертации из elf файла в предыдущих версиях, уже имеют побайтную адресацию. При попытке открыть файл в одиннадцатой версии Quartus II выводится предупреждение: Warning (113007): Byte addressed memory initialization file "program.hex" was read in the word-addressed format. Если переходить в раздел помощи, то да, там и советуют сделать так, как вы описали в методике. Не забывая указать, что слово должно быть 8-ми битным, а количество слов по умолчанию - 256.

Заштопали.... очень рад.

Автор: naliwator May 21 2012, 06:56

Пополнение библиотеки.

11. Изернет и TCP/IP стек NicheStack - Версия под Nios II

Это мой перевод Section III. Advanced Programming Topics. 11. Ethernet and the NicheStack TCP/IP Stack - Nios II Edition.
В этой главе обсуждается стек NicheStack TCP/IP - варианта упрощённой реализации TCP/IP стека для встраиваемых систем с малой памятью. Стек поддерживает следующие протоколы: IP, ICMP, UDP, TCP, RTT, DHCP, ARP, а также Socket API. В главе сделан акцент именно на Nios II реализацию стека: описаны инициализация и правила использования в программе.

Автор: naliwator Jul 3 2012, 13:03

Пополнение библиотеки.

Учебное пособие. Использование стека NicheStack TCP/IP - версия под Nios II

Это мой перевод Using the NicheStack TCP/IP Stack - Nios II Edition Tutorial. TU-01001-3.0
В главе подробно описывается пример проекта Nios II Simple Socket Server. Описывается его взаимодействие с системными задачами MicroC/OS-II, детально описано фукнционирование и настройка приложения.

Автор: FLTI Oct 16 2013, 21:18

Цитата(naliwator @ May 10 2012, 14:26) *
Подозреваю, что вектор сброса у вас указан не на EPCS.

Из-за чего может быть, что в пункте 12 статьи "Загрузка программы из EPCS флеш памяти" вектор сброса не удаётся указать на EPCS?
Его нет в pull-down меню , хотя в системе QSYS он задан.


Кстати, я использую не SOPC Builder, а QSYS. Но дело наверное не в этом?

В качестве замечания - перед п. 13 стоило бы указать все связи между элементами.
И ещё вопрос: EPCS Serial Flash Controller и Serial Flash Loader - это одно и тоже в смысле того, что описано в этой статье?
У Вас описан EPCS Serial Flash Controller, но ведь Serial Flash Loader вроде бы делает то же самое , что и EPCS Serial Flash Controller.
В чём в двух словах в них отличие?

Автор: FLTI Oct 29 2013, 15:01

Цитата(FLTI @ Oct 17 2013, 01:18) *
Из-за чего может быть, что в пункте 12 статьи "Загрузка программы из EPCS флеш памяти" вектор сброса не удаётся указать на EPCS?
Его нет в pull-down меню , хотя в системе QSYS он задан.


В качестве замечания - перед п. 13 стоило бы указать все связи между элементами.

Отвечу себе сам.
Забыл завести связь от Instruction Master от NIOS к EPCS-контроллеру.
Перед п. 13 действительно стоило бы указать все связи между элементами!

Русская версия Invision Power Board (http://www.invisionboard.com)
© Invision Power Services (http://www.invisionpower.com)