реклама на сайте
подробности

 
 
14 страниц V  < 1 2 3 4 > »   
Reply to this topicStart new topic
> "Схемотехнические трюки для ПЛИСоводов", создание аналога "Алгоритмические трюки для программистов"
des333
сообщение Sep 8 2010, 12:34
Сообщение #16


Профессионал
*****

Группа: Свой
Сообщений: 1 129
Регистрация: 19-07-08
Из: Санкт-Петербург
Пользователь №: 39 079



Я думаю лучше не объединять, а сделать новый раздел с названием типа "Оптимизация ..."
Ведь, по существу, все эти темы и вопросы и связаны с оптимизацией по площади, либо по времянкам, либо по потреблению.

Объединять с обучалками, я думаю, не стоит. Слишком различный смысл.
Насчет обсуждение в два этапа согласен. После того, как участники придут, так сказать, к "оптимальному" варианту, модератор переносит решение.

На роль модератора нового раздела, естественно, предлагаю des00. Он, с одной стороны, автор идеи, а с другой стороны, гуру. smile.gif


--------------------
Go to the top of the page
 
+Quote Post
one_man_show
сообщение Sep 8 2010, 12:50
Сообщение #17


Помогу, чем смогу
******

Группа: Админы
Сообщений: 2 786
Регистрация: 28-05-04
Из: Москва
Пользователь №: 25



Цитата
На роль модератора нового раздела, естественно, предлагаю des00

Принято


--------------------
С уважением,
Ваган Саруханов
Проекты|Форум|Facebook|Linkedin
Go to the top of the page
 
+Quote Post
Maverick
сообщение Sep 8 2010, 13:14
Сообщение #18


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(des333 @ Sep 8 2010, 15:34) *
На роль модератора нового раздела, естественно, предлагаю des00. Он, с одной стороны, автор идеи, а с другой стороны, гуру. smile.gif

Полностью согласен


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Aprox
сообщение Sep 8 2010, 17:38
Сообщение #19


Местный
***

Группа: Участник
Сообщений: 374
Регистрация: 7-11-07
Из: Moscow
Пользователь №: 32 131



Цитата(Maverick @ Sep 8 2010, 17:14) *
Полностью согласен
А я не согласен. Он AHDL на дух не переносит. А у меня все наработки на этом языке. Причем, все работает на ура.

Go to the top of the page
 
+Quote Post
Maverick
сообщение Sep 8 2010, 17:58
Сообщение #20


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(Aprox @ Sep 8 2010, 20:38) *
А я не согласен. Он AHDL на дух не переносит. А у меня все наработки на этом языке. Причем, все работает на ура.

Это Ваше мнение. Я тоже AHDL на дух не переношу, des00 - пишет на Verilog/SystemVerilog, а я на VHDL но это не мешает ему давать дельные замечания и предложения по решению возникшей проблемы. Это мое мнение.


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
one_man_show
сообщение Sep 8 2010, 18:09
Сообщение #21


Помогу, чем смогу
******

Группа: Админы
Сообщений: 2 786
Регистрация: 28-05-04
Из: Москва
Пользователь №: 25



Aprox, когда серьезные люди начинают делать серьезные вещи, мелочи не имеют значения. Язык в такой ситуации малозначим, даже тот, на котором общаются эти серьезные люди, так как со временем члены команды начинают понимать друг друга с полуслова smile.gif Проверено многократно.


--------------------
С уважением,
Ваган Саруханов
Проекты|Форум|Facebook|Linkedin
Go to the top of the page
 
+Quote Post
sazh
сообщение Sep 8 2010, 18:11
Сообщение #22


Гуру
******

Группа: Свой
Сообщений: 2 435
Регистрация: 6-10-04
Из: Петербург
Пользователь №: 804



Цитата(Aprox @ Sep 8 2010, 20:38) *
А я не согласен. Он AHDL на дух не переносит. А у меня все наработки на этом языке. Причем, все работает на ура.


Покажите. В моделсиме.
Go to the top of the page
 
+Quote Post
CaPpuCcino
сообщение Sep 8 2010, 20:57
Сообщение #23


тоже уже Гуру
******

Группа: Свой
Сообщений: 2 047
Регистрация: 13-06-05
Из: Кёлн - Санкт-Петербург
Пользователь №: 5 973



2 вопроса:
а) чем содержательно должен отличаться подразумеваемый раздел от 4-ого раздела по ПЛИС "Системы на ПЛИС - System on Programmable Chip" (он открывался для обсуждения корок)? большей мелкозернистостью обсуждаемых модулей?
б) по какому принципу будет организовываться структура раздела? надеюсь каталогизация подразумевается?


--------------------
И снова на арене цирка - дрессированные клоуны!! Оказываем консультации по электронике за симпу круглосуточно.
Go to the top of the page
 
+Quote Post
des00
сообщение Sep 9 2010, 02:36
Сообщение #24


Вечный ламер
******

Группа: Модераторы
Сообщений: 7 248
Регистрация: 18-03-05
Из: Томск
Пользователь №: 3 453



Цитата(iosifk @ Sep 8 2010, 06:53) *
Я предлагаю объединить это обсуждение с обсуждением видео-обучалок.

Ответил вам в вашей теме

Цитата(one_man_show @ Sep 8 2010, 06:57) *
Скажите, что считаете более приемелемым

Надо немножко подумать

Цитата(des333 @ Sep 8 2010, 07:34) *
Я думаю лучше не объединять, а сделать новый раздел с названием типа "Оптимизация ..."

Не хотелось бы останавливаться только на оптимизации. У меня накоплен небольшой багаж по и HDLным трюкам. Т.е. как более красиво описать то или иное. Например CaPpuCcino помнит про решение задачи создании шаблона функций в SV %) Помимо этого есть небольшой багаж по тупости синтезаторов. Например оптимальное в ква описание массива счетчиков, была года два назад и такая тема. Всё это представляет собой обобщенный класс "трюков", который и хотелось бы донести.

Цитата(Aprox @ Sep 8 2010, 12:38) *
А я не согласен. Он AHDL на дух не переносит.

Как уже говорил я работал на языках AHDL/VHDL/V/SV и совершенно ровно отношусь к ним ко всем. Но, как вы правильно заметили, я на дух не переношу голословных, не подтвержденных делом утверждений. Говоря простым языком "Нужно отвечать за базар" %) Но это уже офтоп.

Цитата(CaPpuCcino @ Sep 8 2010, 15:57) *
а) чем содержательно должен отличаться подразумеваемый раздел от 4-ого раздела по ПЛИС "Системы на ПЛИС - System on Programmable Chip" (он открывался для обсуждения корок)? большей мелкозернистостью обсуждаемых модулей?

Целью создания.
Например в свое время я сохранил несколько вариантов делителей опубликованных на форуме. Когда мне потребовалось поставить в свой проект делитель, мне стало интересно написать свою версию. Достал из запасника то что сохранил, раскрутил алгоритм и написал свои реализации. Т.е. использовал форум как справочный материал. Таких справок по форуму лежит много : переход доменов, подсчет КРК, единиц, математика, сортировка и т.д. и т.п. Почему бы их все не объединить в одну справочную базу, снабженную комментариями опытных разработчиков.

Помимо этого все мы используем в своей работе какие то наброски, наработки, описания, которые по нашему мнению идеально подходят и представляют собой что-то вроде собственной "черной магии". Выводились же все эти "трюки"/"хаки" не в слепую, а используя определенные предпосылки и логические заключения (те же шаблоны функций в SV). Было бы интересно всё это собрать под одной темой показав логическую сущность и(или) нестандартность нелинейность мышления HDL дизайнера. %)

Цитата
б) по какому принципу будет организовываться структура раздела? надеюсь каталогизация подразумевается?

Это обсуждаемо, каталогизация нужна, иначе будет кто в лес, кто по дрова. Wiki структура хорошо для этого подходит, но формат подачи материала нужно еще обдумать.

ЗЫ. В свое время на телесистемах существовал FAQ по ПЛИС, содержащий базовую информацию. Когда я 10 лет назад начинал работать, мне он сильно помог, не смотря на то, что количество вопросов там было мало.


--------------------
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Sep 9 2010, 05:00
Сообщение #25


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Продолжаю не постигать, зачем такие сложности? Зачем раздел форума? Есть wiki. Технология wiki изначально задумывалась как коллективный агрегатор информации. У каждой записи в wiki есть страница обсуждения. Зачем нужно ограничивать кого-то в редактировании wiki? Боитесь вандализма? У каждой записи в wiki есть история. Всегда неправильную правку можно откатить. Особенно настойчивых вандалов можно просто банить на всём ресурсе, ибо нефиг.
Go to the top of the page
 
+Quote Post
one_man_show
сообщение Sep 9 2010, 06:31
Сообщение #26


Помогу, чем смогу
******

Группа: Админы
Сообщений: 2 786
Регистрация: 28-05-04
Из: Москва
Пользователь №: 25



Андрей, если я правильно понял Дениса, в результате работы в разделе в том числе будет происходить наполнение полученных результатов в Wiki. Раздел для того, чтобы набрать команду, согласовать действия, найти темы, найти решения задач, совместно разработать, поделиться, покритиковать. Все это в направлении действия конкретной команды с конкретными результатами на выходе.


--------------------
С уважением,
Ваган Саруханов
Проекты|Форум|Facebook|Linkedin
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Sep 9 2010, 07:10
Сообщение #27


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Ну ладно, поживём -- увидим.
Go to the top of the page
 
+Quote Post
sazh
сообщение Sep 9 2010, 07:12
Сообщение #28


Гуру
******

Группа: Свой
Сообщений: 2 435
Регистрация: 6-10-04
Из: Петербург
Пользователь №: 804



Цитата(andrew_b @ Sep 9 2010, 10:10) *
Ну ладно, поживём -- увидим.


Что такое wiki/ Если типа этого http://allfpga.com/wiki/ModelSim,
то это явно не дотягивает до желаемого
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Sep 9 2010, 08:18
Сообщение #29


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Цитата(sazh @ Sep 9 2010, 11:12) *
Что такое wiki/
Не понял вопроса. Если имеется в виду, что такое вики в принципе, то Википедию вы, наверняка, видели. Если имеется в виду, что такое вики на Электрониксе, то ссылка вверху страницы: http://electronix.ru/mkportal/modules/mediawiki/index.php.
Цитата
явно не дотягивает до желаемого
Не совсем понятна претензия. Отчасти оттого, что я так и не понял, в чём это желаемое состоит. По ссылке используется обычный вики-движок. Ну а что структура наполнения не развита, так это зависит от наполняющих.
Go to the top of the page
 
+Quote Post
sazh
сообщение Sep 9 2010, 08:53
Сообщение #30


Гуру
******

Группа: Свой
Сообщений: 2 435
Регистрация: 6-10-04
Из: Петербург
Пользователь №: 804



Цитата(andrew_b @ Sep 9 2010, 11:18) *
Если имеется в виду, что такое вики на Электрониксе, то ссылка вверху страницы:
Не совсем понятна претензия. Отчасти оттого, что я так и не понял, в чём это желаемое состоит. По ссылке используется обычный вики-движок. Ну а что структура наполнения не развита, так это зависит от наполняющих.


Спасибо за ссылку. Теперь буду знать, что на электрониксе есть wiki. ( Видимо я сюда редко заглядываю).
А претензий нет.
Пусть будет и то и другое. Но с идентификацией написавшего.
Go to the top of the page
 
+Quote Post

14 страниц V  < 1 2 3 4 > » 
Reply to this topicStart new topic
2 чел. читают эту тему (гостей: 2, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 16th April 2024 - 10:50
Рейтинг@Mail.ru


Страница сгенерированна за 0.01527 секунд с 7
ELECTRONIX ©2004-2016